Quipu: A Statistical Modelling Approach for Predicting Hardware Resources 1351_quipu_a_statistical_modelling_approach_for_predicting_hard.pdf

Publication TypeJournal Paper
TitleQuipu: A Statistical Modelling Approach for Predicting Hardware Resources
Author(s)R.J. Meeuws
S.A. Ostadzadeh
C. Galuzzi
V.M. Sima
R. Nane
K.L.M. Bertels
Publication DateMay 2013
Journal NameACM Transactions on Reconfigurable Technology and Systems
Volume6
Issue1
Page Numbers3:1-3:25
ISSN1936-7406
publishedPublished
Selected PublicationNo
Note
Topic(s)ASIP
Theme(s)Multi/Many Core Architectures
Project(s)REFLECT
SMECY
iFEST
Group(s)Computer Engineering

IEEE BibTex entry:
@article{,
author = "R.J. Meeuws and S.A. Ostadzadeh and C. Galuzzi and V.M. Sima and R. Nane and K.L.M. Bertels",
title = "Quipu: A Statistical Modelling Approach for Predicting Hardware Resources",
journal = "ACM Transactions on Reconfigurable Technology and Systems",
volume = "6",
issue = "1",
month = "May",
year = "2013",
pages = "3:1-3:25"
}