2018

  1. AG Erichsen, A. L. Sartor, J.D. Sousa, M Pereira, S. Wong, A.C.S. Beck, ISA-DTMR: Selective Protection in Configurable Heterogeneous Multicores1704_isadtmr_selective_protection_in_configurable_heterogeneou.pdf (May 2018), The 14th International Symposium on Applied Reconfigurable Computing (ARC 2018), 2-4 May 2018, Santorini, Greece [Conference Paper]
  2. P. H. E. Becker, A. L. Sartor, M Brandalero, TT Jost, S. Wong, L. Carro, A.C.S. Beck, A Low-Cost BRAM-Based Function Reuse for Configurable Soft-Core Processors in FPGAs1703_a_lowcost_brambased_function_reuse_for_configurable_soft.pdf (May 2018), The 14th International Symposium on Applied Reconfigurable Computing (ARC 2018), 2-4 May 2018, Santorini, Greece [Conference Paper]
  3. J.D. Sousa, A. L. Sartor, L. Carro, M.B. Rutzig, S. Wong, A.C.S. Beck, DIM-VEX: Exploiting Design Time Configurability and Runtime Reconfigurability1705_dimvex_exploiting_design_time_configurability_and_runtime.pdf (May 2018), The 14th International Symposium on Applied Reconfigurable Computing (ARC 2018), 2-4 May 2018, Santorini, Greece [Conference Paper]
  4. 2017

  5. A. L. Sartor, P. H. E. Becker, J.J. Hoozemans, S. Wong, A.C.S. Beck, Dynamic Trade-off among Fault Tolerance, Energy Consumption, and Performance on a Multiple-issue VLIW Processor1650_dynamic_tradeoff_among_fault_tolerance_energy_consumption.pdf (October 2017), IEEE Transactions on Multi-Scale Computing Systems (TMSCS) , volume PP, issue 99 [Journal Paper]
  6. J.J. Hoozemans, J. van Straten, S. Wong, Using a Polymorphic VLIW Processor to Improve Schedulability and Performance for Mixed-criticality Systems1629_using_a_polymorphic_vliw_processor_to_improve_schedulabilit.pdf (August 2017), 23rd IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2017), 16-18 August 2017, Hsinchu, Taiwan [Conference Paper]
  7. S. Zhang, Source-Synchronous Interface with All-Digital Data Recovery1667_sourcesynchronous_interface_with_alldigital_data_recovery.pdf (August 2017), [Msc Thesis]
  8. L. van Bremen, ρ-VEX on Chip: The Design of an ASIC for a Dynamically Reconfigurable VLIW Processor with 24-port Register File1666_vex_on_chip_the_design_of_an_asic_for_a_dynamically_rec.pdf (August 2017), [Msc Thesis]
  9. A.A.C. Brandon, J.J. Hoozemans, J. van Straten, S. Wong, Exploring ILP and TLP on a Polymorphic VLIW Processor1603_exploring_ilp_and_tlp_on_a_polymorphic_vliw_processor.pdf (April 2017), 30th International Conference on Architecture of Computing Systems (ARCS2017), 3-6 April 2017, Vienna, Austria [Conference Paper]
  10. J.J. Hoozemans, R.W. Heij, J. van Straten, Z. Al-Ars, VLIW-based FPGA computational fabric with streaming memory hierarchy for medical imaging applications1602_vliwbased_fpga_computational_fabric_with_streaming_memory.pdf (April 2017), 13th International Symposium on Applied Reconfigurable Computing (ARC2017), 3-7 April 2017, Delft, The Netherlands [Conference Paper]
  11. J.J. Hoozemans, A. F Lorenzon, A.C.S. Beck, S. Wong, Improved dynamic cache sharing for communicating threads on a runtime-adaptable processor1601_improved_dynamic_cache_sharing_for_communicating_threads_on.pdf (January 2017), 11th HiPEAC Workshop on Reconfigurable Computing (WRC2017), 23-1-2017, Stockholm, Sweden [Conference Paper]
  12. A. L. Sartor, A. F Lorenzon, L. Carro, F. Kastensmidt, S. Wong, A.C.S. Beck, Exploiting Idle Hardware to Provide Low Overhead Fault Tolerance for VLIW Processors1598_exploiting_idle_hardware_to_provide_low_overhead_fault_tole.pdf (January 2017), ACM Journal on Emerging Technologies in Computing Systems (JETC) , volume 13, issue 2 [Journal Paper]
  13. 2016

  14. K.I.M. Yanik, Accelerating Software Pipelines Using Streaming Caches (December 2016), [Msc Thesis]
  15. R.W. Heij, Streaming FPGA Based Multiprocessor Architecture for Low Latency Medical Image Processing1663_streaming_fpga_based_multiprocessor_architecture_for_low_la.pdf (December 2016), [Msc Thesis]
  16. M.M. Yousaf, Exploiting the Reconfigurability of ρ-VEX Processor for Real-Time Robotic Applications1669_exploiting_the_reconfigurability_of_vex_processor_for_re.pdf (August 2016), [Msc Thesis]
  17. A. L. Sartor, S. Wong, A.C.S. Beck, Adaptive ILP Control to increase Fault Tolerance for VLIW Processors1537_adaptive_ilp_control_to_increase_fault_tolerance_for_vliw_p.pdf (July 2016), The 27th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP 2016), 6-8 July 2016, London, UK [Conference Paper]
  18. J. van Straten, A Dynamically Reconfigurable VLIW Processor and Cache Design with Precise Trap and Debug Support1670_a_dynamically_reconfigurable_vliw_processor_and_cache_desig.pdf (May 2016), [Msc Thesis]
  19. Q Guo, A. L. Sartor, A.A.C. Brandon, A.C.S. Beck, X. Zhou, S. Wong, Run-time Phase Prediction for a Reconfigurable VLIW Processor1534_runtime_phase_prediction_for_a_reconfigurable_vliw_process.pdf (March 2016), Design, Automation and Test in Europe (DATE 2016), 14-18 March 2016, Dresden, Germany [Conference Paper]
  20. J. Johansen, Implementing Virtual Address Hardware Support on the ρ-VEX Platform1671_implementing_virtual_address_hardware_support_on_the_vex.pdf (February 2016), [Msc Thesis]
  21. 2015

  22. A.A.C. Brandon, J.J. Hoozemans, J. van Straten, A. F Lorenzon, A. L. Sartor, A.C.S. Beck, S. Wong, A Sparse VLIW Instruction Encoding Scheme Compatible with Generic Binaries1518_a_sparse_vliw_instruction_encoding_scheme_compatible_with_g.pdf (December 2015), 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig 2015), 7-9 December 2015, Mayan Riviera, Mexico [Conference Paper]
  23. J.J. Hoozemans, J. Johansen, J. van Straten, A.A.C. Brandon, S. Wong, Multiple Contexts in a Multi-ported VLIW Register File Implementation1517_multiple_contexts_in_a_multiported_vliw_register_file_impl.pdf (December 2015), 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig 2015), 7-9 December 2015, Mayan Riviera, Mexico [Conference Paper]
  24. H. van der Wijst, An Accelerator based on the ρ-VEX Processor: An Exploration using OpenCL1672_an_accelerator_based_on_the_vex_processor_an_exploratio.pdf (November 2015), [Msc Thesis]
  25. K. Meun, Fault tolerance on the ρ-VEX processor1673__fault_tolerance_on_the_vex_processor.pdf (November 2015), [Msc Thesis]
  26. J.S.P. Giraldo, A. L. Sartor, L. Carro, S. Wong, A.C.S. Beck, Evaluation of energy savings on a VLIW processor through dynamic issue-width adaptation1538_evaluation_of_energy_savings_on_a_vliw_processor_through_dy.pdf (October 2015), 2015 International Symposium on Rapid System Prototyping (RSP2015), 8-9 October 2016, Pittsburgh, PA, USA [Conference Paper]
  27. J.J. Hoozemans, S. Wong, Z. Al-Ars, Using VLIW Softcore Processors for Image Processing Applications1506_using_vliw_softcore_processors_for_image_processing_applica.pdf (July 2015), International Conference On Embedded Computer Systems: Architectures, Modeling, And Simulation (SAMOS XV (2015)), 20-23 July 2015, Samos, Greece [Conference Paper]
  28. A. L. Sartor, A. F Lorenzon, L. Carro, F. Kastensmidt, S. Wong, A.C.S. Beck, A Novel Phase-based Low Overhead Fault Tolerance Approach for VLIW Processors1485_a_novel_phasebased_low_overhead_fault_tolerance_approach_f.pdf (July 2015), IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 08-10 July 2015, Montpellier, France [Conference Paper]
  29. 2014

  30. G. Andronikidis, Task Scheduling for Adaptive Reconfigurable VLIW Multicore Processors1674_task_scheduling_for_adaptive_reconfigurable_vliw_multicore.pdf (November 2014), [Msc Thesis]
  31. M.B. Reda, Design-time and Run-time Reconfigurable Clustered ρ-VEX VLIW Softcore Processor1675_designtime_and_runtime_reconfigurable_clustered_vex_vl.pdf (August 2014), [Msc Thesis]
  32. M.A.F.M. Daverveldt, LLVM-based ρ-VEX compiler1676_llvmbased_vex_compiler.pdf (May 2014), [Msc Thesis]
  33. 2013

  34. G. Keramidas, S. Wong, F. Anjam, A.A.C. Brandon, R.A.E. Seedorf, C. Scordino, L. Carro, D. Matos, R. Giorgi, S. Kavvadias, S.A. McKee, B. Goel, V. Spiliopoulos, Embedded Reconfigurable Computing: the ERA Approach1381_embedded_reconfigurable_computing_the_era_approach.pdf (July 2013), 11th IEEE International Conference on Industrial Informatics (INDIN 2013), 29-31 July 2013, Bochum, Germany [Conference Paper]
  35. F. Anjam, S. Wong, Configurable Fault-tolerance for a Configurable VLIW Processor1330_configurable_faulttolerance_for_a_configurable_vliw_proces.pdf (March 2013), 9th International Symposium on Applied Reconfigurable Computing (ARC 2013), 25-27 March 2013, Los Angeles, USA [Conference Paper]
  36. A.A.C. Brandon, S. Wong, Support for dynamic issue width in VLIW processors using generic binaries 1425_support_for_dynamic_issue_width_in_vliw_processors_using_ge.pdf (March 2013), Design, Automation & Test in Europe Conference & Exhibition (DATE 2013), 18-22 March 2013, Grenoble, France [Conference Paper]
  37. P.C. Santos, G.L. Nazar, F. Anjam, S. Wong, D. Matos, L. Carro, A Fully Dynamic Reconfigurable NoC-based MPSoC: The Advantages of Total Reconfiguration1344_a_fully_dynamic_reconfigurable_nocbased_mpsoc_the_advanta.pdf (January 2013), 7th HiPEAC Workshop on Reconfigurable Computing (WRC 2013), 21 January 2013, Berlin, Germany [Conference Paper]
  38. P.C. Santos, G.L. Nazar, F. Anjam, S. Wong, D. Matos, L. Carro, A Fully Dynamic Reconfigurable NoC-based MPSoC: The Advantages of a Multi-Level Reconfiguration1331_a_fully_dynamic_reconfigurable_nocbased_mpsoc_the_advanta.pdf (January 2013), 2nd Workshop on Design Tools and Architectures for Multi-Core Embedded Computing Platforms (DITAM 2013), 22 January 2013, Berlin, Germany [Conference Paper]
  39. 2012

  40. P.C. Santos, G.L. Nazar, F. Anjam, S. Wong, L. Carro, Adapting Communication for Adaptable Processors: A Multi-Axis Reconfiguration Approach1306_adapting_communication_for_adaptable_processors_a_multiax.pdf (December 2012), International Conference on ReConFigurable Computing and FPGAs (ReConFig 2012), 5-7 December 2012, Cancun, Mexico [Conference Paper]
  41. F. Anjam, L. Carro, S. Wong, G.L. Nazar, M.B. Rutzig, Simultaneous Reconfiguration of Issue-width and Instruction Cache for a VLIW Processor144_simultaneous_reconfiguration_of_issuewidth_and_instruction.pdf (July 2012), International Conference on Embedded Computer Systems: Architecture Modeling and Simulation (IC-SAMOS 2012), 16-19 July 2012, Samos, Greece [Conference Paper]
  42. F. Anjam, Q. Kong, R.A.E. Seedorf, S. Wong, A Run-time Task Migration Scheme for an Adjustable Issue-slots Multi-core Processor130_a_runtime_task_migration_scheme_for_an_adjustable_issueslo.pdf (March 2012), 8th International Symposium on Applied Reconfigurable Computing (ARC 2012), 19-23 March 2012, Hong Kong, China [Conference Paper]
  43. F. Anjam, Q. Kong, R.A.E. Seedorf, S. Wong, On the Implementation of Traps for a Softcore VLIW Processor126_on_the_implementation_of_traps_for_a_softcore_vliw_processor.pdf (January 2012), 6th HiPEAC Workshop on Reconfigurable Computing (WRC 2012), 24 January 2012, Paris, France [Conference Paper]
  44. R.A.E. Seedorf, F. Anjam, A.A.C. Brandon, S. Wong, Design of a Pipelined and Parameterized VLIW Processor: ρ-VEX v.2125_design_of_a_pipelined_and_parameterized_vliw_processor_rve.pdf (January 2012), 6th HiPEAC Workshop on Reconfigurable Computing (WRC 2012), 24 January 2012, Paris, France [Conference Paper]
  45. 2011

  46. S. Wong, L. Carro, M.B. Rutzig, D. Matos, R. Giorgi, N. Puzovic, S. Kaxiras, M. Cintra, G. Desoli, P. Gai, S.A. McKee, A. Zaks, ERA - Embedded Reconfigurable Architectures (August 2011), Chapter "Reconfigurable Computing - From FPGAs to Hardware/Software Codesign", Published by Springer [Book Chapter]
  47. M. Zeeuw, Interfacing the ρ-VEX with the μBlaze processor1677_interfacing_the_vex_with_the_blaze_processor.pdf (August 2011), [Msc Thesis]
  48. S. Wong, A.A.C. Brandon, F. Anjam, R.A.E. Seedorf, R. Giorgi, N. Puzovic, S.A. McKee, L. Carro, G. Keramidas, Early Results from ERA - Embedded Reconfigurable Architectures29_early_results_from_era__embedded_reconfigurable_architecture.pdf (July 2011), 9th IEEE International Conference on Industrial Informatics (INDIN), 26-29 July 2011, Lisbon, Portugal [Conference Paper]
  49. M. Ahmadi, A. Shahbahrami, S. Wong, Collaboration of reconfigurable processors in grid computing: Theory and application50_collaboration_of_reconfigurable_processors_in_grid_computing.pdf (June 2011), Future Generation Computer Systems (FGCS) , volume 27, issue 6 [Journal Paper]
  50. Q. Kong, Interrupt Support on the ρ-VEX processor1678_interrupt_support_on_the_vex_processor.pdf (March 2011), [Msc Thesis]
  51. F. Anjam, M. Nadeem, S. Wong, Targeting Code Diversity with Run-time Adjustable Issue-slots in a Chip Multiprocessor79_targeting_code_diversity_with_runtime_adjustable_issueslots.pdf (March 2011), Design, Automation and Test in Europe (DATE 2011), 14-18 March 2011, Grenoble, France [Conference Paper]
  52. 2010

  53. F. Anjam, S. Wong, M.F. Nadeem, A Multiported Register File with Register Renaming for Configurable Softcore VLIW Processors225_a_multiported_register_file_with_register_renaming_for_confi.pdf (December 2010), International Conference on Field-Programmable Technology (FPT 2010), 8-10 December 2010, Beijing, China [Conference Paper]
  54. F. Anjam, M. Nadeem, S. Wong, A VLIW Softcore Processor with Dynamically Adjustable Issue-slots224_a_vliw_softcore_processor_with_dynamically_adjustable_issue.pdf (December 2010), International Conference on Field-Programmable Technology (FPT 2010), 8-10 December 2010, Beijing, China [Conference Paper]
  55. M.F. Nadeem, F. Anjam, S.A. Ostadzadeh, M. Ahmadi, S. Wong, Towards the Utilization of Reconfigurable Processors in Grid Networks (November 2010), 21th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC), 18-19 November 2010, Veldhoven, The Netherlands [Conference Paper]
  56. F. Anjam, S. Wong, M.F. Nadeem, A shared Reconfigurable VLIW Multiprocessor System200_a_shared_reconfigurable_vliw_multiprocessor_system.pdf (April 2010), 17th Reconfigurable Architectures Workshop (RAW 2010), 19-20 April 2010, Atlanta, USA [Conference Paper]
  57. S. Wong, F. Anjam, M.F. Nadeem, Dynamically Reconfigurable Register File for a Softcore VLIW Processor210_dynamically_reconfigurable_register_file_for_a_softcore_vliw.pdf (March 2010), Design, Automation and Test in Europe (DATE 2010), 8-12 March 2010, Dresden, Germany [Conference Paper]
  58. 2009

  59. S. Wong, F. Anjam, The Delft Reconfigurable VLIW Processor381_the_delft_reconfigurable_vliw_processor.pdf (December 2009), 17th International Conference on Advanced Computing and Communications (ADCOM 2009), 14-17 December 2009, Bangalore, India, Invited Paper [Conference Paper]
  60. 2008

  61. S. Wong, T. van As, G. Brown, ρ-VEX: A Reconfigurable and Extensible Softcore VLIW Processor507_vex_a_reconfigurable_and_extensible_softcore_vliw_proces.pdf (December 2008), International Conference on Field-Programmable Technology (ICFPT 2008), 7-10 December 2008, Taipei, Taiwan [Conference Paper]