R.J. Meeuws

NameR.J. Meeuws
First NameRoel
E-mailR.J.Meeuws@tudelft.nl
Author TypePhd Student
AffiliationTU Delft

Publications

I. Ashraf, S.A. Ostadzadeh, R.J. Meeuws, K.L.M. Bertels, Evaluation Methodology for Data Communication-aware Application Partitioning 1380_evaluation_methodology_for_data_communicationaware_applica.pdf (August 2013), 1st Workshop on Runtime and Operating Systems for the Many-core Era (ROME 2013), 26 August 2013, Aachen, Germany , http://dx.doi.org/10.1007/978-3-642-54420-0_72 [Conference Paper]
R.J. Meeuws, S.A. Ostadzadeh, C. Galuzzi, V.M. Sima, R. Nane, K.L.M. Bertels, Quipu: A Statistical Modelling Approach for Predicting Hardware Resources 1351_quipu_a_statistical_modelling_approach_for_predicting_hard.pdf (May 2013), ACM Transactions on Reconfigurable Technology and Systems (TRETS), volume 6, issue 1 [Journal Paper]
R. Nane, V.M. Sima, B Olivier, R.J. Meeuws, Y.D. Yankova, K.L.M. Bertels, DWARV 2.0: A CoSy-based C-to-VHDL Hardware Compiler 600_dwarv_20_a_cosybased_ctovhdl_hardware_compiler.pdf (August 2012), 22nd International Conference on Field Programmable Logic and Applications (FPL 2012), 29-31 August 2012, Oslo, Norway [Conference Paper]
I. Ashraf, S.A. Ostadzadeh, R.J. Meeuws, K.L.M. Bertels, Communication-aware HW/SW Co-design for Heterogeneous Multicore Platforms 143_communicationaware_hwsw_codesign_for_heterogeneous_multic.pdf (July 2012), 10th International Workshop on Dynamic Analysis (WODA 2012), 15 July 2012, Minneapolis, USA [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, I. Ashraf, C. Galuzzi, K.L.M. Bertels, Profile-Guided Application Partitioning for Heterogeneous Reconfigurable Platforms 137_profileguided_application_partitioning_for_heterogeneous_re.pdf (May 2012), 16th International Symposium on Computer Architecture and Digital Systems (CADS 2012), 2-3 May 2012, Shiraz, Iran [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, I. Ashraf, C. Galuzzi, K.L.M. Bertels, The Q² Profiling Framework: Driving Application Mapping for Heterogeneous Reconfigurable Platforms 132_the_q_profiling_framework_driving_application_mapping_for.pdf (March 2012), 8th International Symposium on Applied Reconfigurable Computing (ARC 2012), 19-23 March 2012, Hong Kong, China [Conference Paper]
K.L.M. Bertels, S.A. Ostadzadeh, R.J. Meeuws, Advanced Profiling of Applications for Heterogeneous Multi-Core Platforms (July 2011), International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'11), 18-21 July 2011, Las Vegas, USA [Conference Paper]
R.J. Meeuws, C. Galuzzi, K.L.M. Bertels, High Level Quantitative Hardware Prediction Modeling using Statistical methods 31_high_level_quantitative_hardware_prediction_modeling_using_st.pdf (July 2011), International Conference on Embedded Computer Systems: Architectures, Models, and Simulations (SAMOS XI), 18-21 July 2011, Samos, Greece [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, C. Galuzzi, K.L.M. Bertels, QUAD - A Memory Access Pattern Analyser 207_quad__a_memory_access_pattern_analyser.pdf (March 2010), 6th International Symposium on Applied Reconfigurable Computing (ARC 2010), 17-19 March 2010, Bangkok, Thailand [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, C. Galuzzi, K.L.M. Bertels, QUAD - Quantitative Usage Analysis of Data 215_quad__quantitative_usage_analysis_of_data.pdf (March 2010), Design, Automation and Test in Europe (DATE 2010), 8-12 March 2010, Dresden, Germany , Designing for Embedded Parallel Computing Platforms: Architectures, Design Tools, and Applications Workshop, Poster Session: Applications & Architectures [Conference Paper]
C. Galuzzi, D. Theodoropoulos, R.J. Meeuws, K.L.M. Bertels, Algorithms for the Automatic Extension of an Instruction-Set (April 2009), Design, Automation and Test in Europe (DATE 2009), 20-24 April 2009, Nice, France [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, K. Sigdel, K.L.M. Bertels, A Multipurpose Clustering Algorithm for Task Partitioning in Multicore Reconfigurable Systems 360_a_multipurpose_clustering_algorithm_for_task_partitioning_in.pdf (March 2009), International Workshop on Multi-Core Computing Systems (MuCoCoS 20009), 16 March 2009, Fukuoka, Japan [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, K. Sigdel, K.L.M. Bertels, A Clustering Framework for Task Partitioning Based on Function-level Data Usage Analysis 364_a_clustering_framework_for_task_partitioning_based_on_functi.pdf (February 2009), 17th International Symposium on Field Programmable Gate Arrays (FPGA 2009), 22-24 February 2009, Monterey, USA , POSTER SESSION: Processors & CAD tools [Conference Paper]
C. Galuzzi, D. Theodoropoulos, R.J. Meeuws, K.L.M. Bertels, Automatic Instruction-Set Extensions with the Linear Complexity Spiral Search (December 2008), IEEE International Conference on Reconfigurable Computing and FPGAs (ReConFig 2008), 3-5 December 2008, Cancun, Mexico [Conference Paper]
R.J. Meeuws, K. Sigdel, Y.D. Yankova, K.L.M. Bertels, High Level Quantitative Interconnect Estimation for Early Design Space Exploration 508_high_level_quantitative_interconnect_estimation_for_early_de.pdf (December 2008), International Conference on Field-Programmable Technology (ICFPT 2008), 7-10 December 2008, Taipei, Taiwan [Conference Paper]
Y. Lu, T. Marconi, G.N. Gaydadjiev, K.L.M. Bertels, R.J. Meeuws, A Self-adaptive on-line Task Placement Algorithm for Partially Reconfigurable Systems 489_a_selfadaptive_online_task_placement_algorithm_for_partial.pdf (April 2008), 22nd IEEE International Symposium on Parallel and Distributed Processing (IPDPS 2008), 14-18 April 2008, Miami, USA [Conference Paper]
R.J. Meeuws, K. Sigdel, Y.D. Yankova, K.L.M. Bertels, Quantitative Prediction for Early Design Space Exploration in Delft Workbench: An Outlook 669_quantitative_prediction_for_early_design_space_exploration_i.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
K. Sigdel, R.J. Meeuws, K.L.M. Bertels, A Profiling Framework for Design Space Exploration in Heterogeneous System Context 656_a_profiling_framework_for_design_space_exploration_in_hetero.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
R.J. Meeuws, Y.D. Yankova, K.L.M. Bertels, G.N. Gaydadjiev, S. Vassiliadis, A Quantitative Prediction Model for Hardware/Software Partitioning 573_a_quantitative_prediction_model_for_hardwaresoftware_partit.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
K.L.M. Bertels, G.K. Kuzmanov, E. Moscu Panainte, G.N. Gaydadjiev, Y.D. Yankova, V.M. Sima, K. Sigdel, R.J. Meeuws, S. Vassiliadis, Hartes Toolchain Early Evaluation: Profiling, Compilation and HDL Generation 583_hartes_toolchain_early_evaluation_profiling_compilation_an.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
Y.D. Yankova, K.L.M. Bertels, S. Vassiliadis, R.J. Meeuws, A.J.R. Virginia, Automated HDL Generation: Comparative Evaluation 616_automated_hdl_generation_comparative_evaluation.pdf (May 2007), International Symposium on Circuits and Systems (ISCAS 2007), 27-30 May 2007, New Orleans, USA [Conference Paper]
K.L.M. Bertels, G.K. Kuzmanov, E. Moscu Panainte, G.N. Gaydadjiev, Y.D. Yankova, V.M. Sima, K. Sigdel, R.J. Meeuws, S. Vassiliadis, Profiling, Compilation, and HDL Generation within the hArtes Project 625_profiling_compilation_and_hdl_generation_within_the_hartes.pdf (April 2007), Workshop on Directions in FPGAs and Reconfigurable Systems: Adaptive Heterogeneous Systems-on-Chip and European Dimensions (DATE 2007 Workshop), 16-20 April 2007, Nice, France [Conference Paper]
R.J. Meeuws, Y.D. Yankova, K.L.M. Bertels, Towards A Quantitative Model for Hardware/Software Partitioning 757_towards_a_quantitative_model_for_hardwaresoftware_partition.pdf (April 2006), CE technical report , part of Rcosy DES.6392 project [Technical Report]