K.L.M. Bertels

NameK.L.M. Bertels
First NameKoen
E-mailK.L.M.Bertels@tudelft.nl
Author TypeStaff
AffiliationTU Delft

Publications

S. Ren, N. Ahmed, K.L.M. Bertels, Z. Al-Ars, GPU Accelerated Sequence Alignment with Traceback for GATK HaplotypeCaller (January 2019), 17th Asia-Pacific Bioinformatics Conference (APBC 2019), 14-16 Januari 2019, Wuhan, China , accepted for publication in BMC Genomics 2019 [Conference Paper]
S. Ren, N. Ahmed, K.L.M. Bertels, Z. Al-Ars, An Efficient GPU-based de Bruijn Graph Construction Algorithm for Micro-Assembly (October 2018), 18th IEEE International Conference on BioInformatics and BioEngineering (BIBE 2018), 29-31 October 2018, Taichung, Taiwan [Conference Paper]
E.J. Houtgast, V.M. Sima, K.L.M. Bertels, Z. Al-Ars, Comparative Analysis of System-Level Acceleration Techniques in Bioinformatics: A Case Study of Accelerating the Smith-Waterman Algorithm for BWA-MEM (October 2018), 18th IEEE International Conference on BioInformatics and BioEngineering (BIBE 2018), 29-31 October 2018, Taichung, Taiwan [Conference Paper]
L. Lao, B. van Wee, I. Ashraf, J. van Someren, N. Khammassi, K.L.M. Bertels, C.G. Almudever, Mapping of Lattice Surgery-based Quantum Circuits on Surface Code Architectures 1707_mapping_of_lattice_surgerybased_quantum_circuits_on_surfac.pdf (September 2018), Journal: Quantum Sci. Technol. 4,015005 (2019) , https://doi.org/10.1088/2058-9565/aadd1a [Technical Report]
E.J. Houtgast, V.M. Sima, K.L.M. Bertels, Z. Al-Ars, Hardware Acceleration of BWA-MEM Genomic Short Read Mapping for Longer Read Lengths 1701_hardware_acceleration_of_bwamem_genomic_short_read_mapping.pdf (August 2018), Computational Biology and Chemistry (Comput. Biol. Chem), volume 75 [Journal Paper]
X. Fu, M. A. Rol, C.C. Bultink, J. van Someren, N. Khammassi, I. Ashraf, R.F.L. Vermeulen, J. C. de Sterke, W.J. Vlothuizen, R. N. Schouten, C.G. Almudever, L. DiCarlo, K.L.M. Bertels, A Microarchitecture for a Superconducting Quantum Processor 1695_a_microarchitecture_for_a_superconducting_quantum_processor.pdf (May 2018), IEEE Micro, volume 38, issue 3 , Top Picks from the 2017 Computer Architecture Conferences [Journal Paper]
S. Ren, K.L.M. Bertels, Z. Al-Ars, Efficient Acceleration of the Pair-HMMs Forward Algorithm for GATK HaplotypeCaller on GPUs 1689_efficient_acceleration_of_the_pairhmms_forward_algorithm_f.pdf (March 2018), Evolutionary Bioinformatics, volume 14 , CC BY-NC [Journal Paper]
I. Ashraf, N. Khammassi, M. Taouil, K.L.M. Bertels, Memory and Communication Profiling for Accelerator-based Platforms 1681_memory_and_communication_profiling_for_acceleratorbased_pl.pdf (December 2017), IEEE Transactions on Computers (TC), volume PP, issue 29 , Pre-print [Journal Paper]
S. Varsamopulos, D. B. Benjamin Criger, K.L.M. Bertels, Decoding Small Surface Codes with Feedforward Neural Networks 1699_decoding_small_surface_codes_with_feedforward_neural_networ.pdf (November 2017), Quantum Science and Technology (QST), volume 3, issue 1 [Journal Paper]
N. Ahmed, H. Mushtaq, K.L.M. Bertels, Z. Al-Ars, GPU Accelerated API for Alignment of Genomics Sequencing Data 1662_gpu_accelerated_api_for_alignment_of_genomics_sequencing_da.pdf (November 2017), IEEE International Conference on Bioinformatics and Biomedicine (BIBM 2017), 13-16 November 2017, Kansas City, USA [Conference Paper]
J. Yu, R. Nane, I. Ashraf, M. Taouil, S. Hamdioui, H. Corporaal, K.L.M. Bertels, Skeleton-based Synthesis Flow for Computation-In-Memory Architectures (October 2017), IEEE Transactions on Emerging Topics in Computing, volume PP, issue 99 , Pre-publish [Journal Paper]
N. Ahmed, K.L.M. Bertels, Z. Al-Ars, Predictive Genome Analysis Using Partial DNA Sequencing Data 1651_predictive_genome_analysis_using_partial_dna_sequencing_dat.pdf (October 2017), 17th annual IEEE International Conference on BioInformatics and BioEngineering (BIBE 2017), 23-25 October 2017, Washington DC, USA [Conference Paper]
X. Fu, M. A. Rol, C.C. Bultink, J. van Someren, N. Khammassi, I. Ashraf, R.F.L. Vermeulen, J. C. de Sterke, W.J. Vlothuizen, R.N. Schouten, C.G. Almudever, L. DiCarlo, K.L.M. Bertels, An Experimental Microarchitecture for a Superconducting Quantum Processor 1693_an_experimental_microarchitecture_for_a_superconducting_qua.pdf (October 2017), 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2017), 14-18 October 2017, Boston, USA , Best Paper Award [Conference Proceedings]
S. Ren, K.L.M. Bertels, Z. Al-Ars, GPU-Accelerated GATK HaplotypeCaller with Load-Balanced Multi-Process Optimization 1646_gpuaccelerated_gatk_haplotypecaller_with_loadbalanced_mul.pdf (October 2017), 17th annual IEEE International Conference on BioInformatics and BioEngineering (BIBE 2017), 23-25 October 2017, Washington DC, USA [Conference Paper]
L Riesebos, X. Fu, S. Varsamopulos, C.G. Almudever, K.L.M. Bertels, Pauli Frames for Quantum Computer Architectures (June 2017), 54th Design Automation Conference (DAC 2017), 18-22 June 2017, Austin USA [Conference Proceedings]
L Riesebos, X. Fu, S. Varsamopulos, C.G. Almudever, K.L.M. Bertels, Pauli Frames for Quantum Computer Architectures (June 2017), 54th Design Automation Conference (DAC 2017), 18-22 June 2017, Austin USA [Conference Proceedings]
E. Vermij, L Fiorin, C Hagleitner, K.L.M. Bertels, Sorting big data on heterogeneous near-data processing systems 1625_sorting_big_data_on_heterogeneous_neardata_processing_syst.pdf (May 2017), ACM International Conference on Computing Frontiers 2017 (CF 2017), 15-17 May 2017, Siena, Italy [Conference Proceedings]
H.A. Du Nguyen, L. Xie, M. Taouil, R. Nane, S. Hamdioui, K.L.M. Bertels, On the Implementation of Computation-in-Memory Parallel Adder (May 2017), IEEE Transactions On Very Large Scale Integration (VLSI) Systems (TVLSI) [Journal Paper]
L. Xie, H.A. Du Nguyen, M. Taouil, S. Hamdioui, K.L.M. Bertels, A Mapping Methodology of Boolean Logic Circuits on Memristor Crossbar (April 2017), IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) [Journal Paper]
C.G. Almudever, L. Lao, X. Fu, N. Khammassi, I. Ashraf, D. Iorga, S. Varsamopulos, C. Eichler, A. Wallraff, L. Geck, A. Kruth, J. Knoch, H. Bluhm, K.L.M. Bertels, The Engineering Challenges in Quantum Computing (March 2017), Design, Automation and Test in Europe (DATE 2017), 27-31 March 2017, Lausanne, Switzerland [Conference Proceedings]
S. Hamdioui, S. Kvatinsky, G Cauwenberghs, L. Xie, N. Wald , S. Joshi, H. M. Elsayed, H. Corporaal, K.L.M. Bertels, Memristor for computing: Myth or reality? (March 2017), Design, Automation and Test in Europe (DATE 2017), 27-31 March 2017, Lausanne, Switzerland [Conference Proceedings]
N. Khammassi, I. Ashraf, X. Fu, C.G. Almudever, K.L.M. Bertels, QX: A High-Performance Quantum Computer Simulation Platform (March 2017), Design, Automation and Test in Europe (DATE 2017), 27-31 March 2017, Lausanne, Switzerland [Conference Proceedings]
S. Hamdioui, S Kvatinsky, G Cauwenberghs, L. Xie, N Wald, S Joshi, H Elsayed, H. Corporaal, K.L.M. Bertels, Memristor For Computing: Myth or Reality? (March 2017), Design, Automation and Test in Europe (DATE 2017), 27-31 March 2017, Lausanne, Switzerland [Conference Proceedings]
L. Xie, H.A. Du Nguyen, M. Taouil, S. Hamdioui, K.L.M. Bertels, M Alfailakawi, Non-Volatile Look-up Table Based FPGA Implementations 1604_nonvolatile_lookup_table_based_fpga_implementations.pdf (December 2016), 11th IEEE International Design & Test Symposium (IDT 2016), 18-20 December 2016, Hammamet, Tunisia [Conference Proceedings]
N. Ahmed, K.L.M. Bertels, Z. Al-Ars, A Comparison of Seed-and-Extend Techniques in Modern DNA Read Alignment Algorithms 1597_a_comparison_of_seedandextend_techniques_in_modern_dna_re.pdf (December 2016), Workshop on Accelerator-Enabled Algorithms and Applications in Bioinformatics (WACEBI 2016), 15 December 2016, Shenzhen, China [Conference Paper]
S. Ren, K.L.M. Bertels, Z. Al-Ars, Exploration of Alternative GPU Implementations of the Pair-HMMs Forward Algorithm 1562_exploration_of_alternative_gpu_implementations_of_the_pair.pdf (December 2016), 3rd International Workshop on High Performance Computing on Bioinformatics (HPCB 2016), 15-18 December 2016, Shenzhen, China [Conference Paper]
E.J. Houtgast, V.M. Sima, G. Marchiori, K.L.M. Bertels, Z. Al-Ars, Power-Efficiency Analysis of Accelerated BWA-MEM Implementations on Heterogeneous Computing Platforms 1549_powerefficiency_analysis_of_accelerated_bwamem_implementa.pdf (December 2016), International Conference on Reconfigurable Computing and FPGAs (ReConFig 2016), 30 November - 2 December 2016, Cancun, Mexico , full paper [Conference Paper]
D. Iorga, R. Nane, Y. Lu, E van Dalen, K.L.M. Bertels, An Image Processing VLIW Architecture for Real-Time Depth Detection 1555_an_image_processing_vliw_architecture_for_realtime_depth_d.pdf (October 2016), 28th International Conference on Computer Architecture and High Performance Computing (SBAC-PAD 2016), 26-28 October 2016, Los Angeles, USA [Conference Paper]
R. Nane, V.M. Sima, C. Pilato, J. Choi, B Fort, A Canis, Y.T. Chen, H Hsiao, S Brown, F. Ferrandi, J Anderson, K.L.M. Bertels, A Survey and Evaluation of FPGA High-Level Synthesis Tools 1524_a_survey_and_evaluation_of_fpga_highlevel_synthesis_tools.pdf (October 2016), IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), volume 35, issue 10 [Journal Paper]
H.A. Du Nguyen, L. Xie, M. Taouil, S. Hamdioui, K.L.M. Bertels, CIM Architecture Communication Schemes (September 2016), The First International Workshop on In-Memory and In-Storage Computing with Emerging Technologies (IMISCET 2016), 11 September 2016, Haifa, Israel [Conference Paper]
S. Hamdioui, M. Taouil, H.A. Du Nguyen, M.A.B. Haron, L. Xie, K.L.M. Bertels, CIMx: Computation in-Memory Architecture Based on Resistive Devices (August 2016), 15th International Workshop on Cellular Nanoscale Networks and their Applications (CNNA 2016), 23-25 August 2016, Dresden, Germany [Conference Paper]
J. Yu, R. Nane, M.A.B. Haron, S. Hamdioui, H. Corporaal, K.L.M. Bertels, Skeleton-Based Design and Simulation Flow for Computation-In-Memory Architectures 1544_skeletonbased_design_and_simulation_flow_for_computationi.pdf (July 2016), IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH 2016), 18-20 July 2016, Beijing, China , Best Student Paper Award [Conference Proceedings]
J. Fang, J. Hidders, K.L.M. Bertels, J Lee, P. Hofstee, A Locality-Aware Hash-Join Algorithm 1557_a_localityaware_hashjoin_algorithm.pdf (July 2016), 12th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES 2016), 10-16 July 2016, Fiuggi, Italy [Conference Proceedings]
E.J. Houtgast, V.M. Sima, K.L.M. Bertels, Z. Al-Ars, An Efficient GPU-Accelerated Implementation of Genomic Short Read Mapping with BWA-MEM 1536_an_efficient_gpuaccelerated_implementation_of_genomic_shor.pdf (July 2016), International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART 2016), 25-27 July 2016, Hong Kong, China , Proceedings published in ACM SIGARCH Computer Architecture News (journal) [Conference Paper]
E.J. Houtgast, V.M. Sima, K.L.M. Bertels, Z. Al-Ars, Computational Challenges of Next Generation Sequencing Pipelines Using Heterogeneous Systems 1535_computational_challenges_of_next_generation_sequencing_pipe.pdf (July 2016), 12th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES 2016), 10-16 July 2016, Fiuggi, Italy , abstract only [Conference Proceedings]
H.A. Du Nguyen, L. Xie, M. Taouil, S. Hamdioui, K.L.M. Bertels, Synthesizing HDL to memristor technology: A generic framework (July 2016), IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH 2016), 18-20 July 2016, Beijing, China [Conference Paper]
M.A.B. Haron, J. Yu, R. Nane, M. Taouil, S. Hamdioui, K.L.M. Bertels, Parallel Matrix Multiplication on Memristor-Based Computation-in-Memory Architecture 1550_parallel_matrix_multiplication_on_memristorbased_computati.pdf (July 2016), International Conference on High Performance Computing & Simulation (HPCS 2016), 18-22 July 2016, Innsbruck, Austria , Outstanding Paper Runner-up Award [Conference Paper]
E. Vermij, C Hagleitner, L Fiorin, R Jongerius, J van Lunteren, K.L.M. Bertels, An architecture for near-data processing 1623_an_architecture_for_neardata_processing.pdf (May 2016), Computing Frontiers (CF), 16-18 May 2016, Italy [Conference Proceedings]
E.J. Houtgast, V.M. Sima, G. Marchiori, K.L.M. Bertels, Z. Al-Ars, Power-Efficient Accelerated Genomic Short Read Mapping on Heterogeneous Computing Platforms 1531_powerefficient_accelerated_genomic_short_read_mapping_on_h.pdf (May 2016), 24th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM 2016), 1-3 May 2016, Washington DC, USA , abstract only [Conference Paper]
X. Fu, L Riesebos, L. Lao, C.G. Almudever, F. Sebastiano, R. Versluis, E Charbon, K.L.M. Bertels, A Heterogeneous Quantum Computer Architecture 1548_a_heterogeneous_quantum_computer_architecture.pdf (May 2016), Computing Frontiers (CF), 16-18 May 2016, Italy [Conference Paper]
E.J. Houtgast, V.M. Sima, K.L.M. Bertels, Z. Al-Ars, GPU-Accelerated BWA-MEM Genomic Mapping Algorithm Using Adaptive Load Balancing 1520_gpuaccelerated_bwamem_genomic_mapping_algorithm_using_ada.pdf (April 2016), 29th International Conference on Architecture of Computing Systems (ARCS 2016), 4-7 April 2016, Nuremberg, Germany [Conference Paper]
L. Xie, H.A. Du Nguyen, M. Taouil, S. Hamdioui, K.L.M. Bertels, Boolean Logic Gate Exploration for Memristor Crossbar (April 2016), 11th International Conference on Design &Technology of Integrated Systems in Nanoscale Era (DTIS 2016), 12-14 april 2016, Istanbul, Turkey [Conference Proceedings]
V.V. Kritchallo, B. Braithwaite, E. Vermij, K.L.M. Bertels, Z. Al-Ars, Balancing High-Performance Parallelization and Accuracy in Canny Edge Detector 1526_balancing_highperformance_parallelization_and_accuracy_in.pdf (April 2016), 29th International Conference on Architecture of Computing Systems (ARCS 2016), 4-7 April 2016, Nuremberg, Germany [Conference Paper]
V.V. Kritchallo, E. Vermij, K.L.M. Bertels, Z. Al-Ars, Fidelity Slider: a User-Defined Method to Trade off Accuracy for Performance in Canny Edge Detector 1530_fidelity_slider_a_userdefined_method_to_trade_off_accurac.pdf (January 2016), 11th HiPEAC conference (HiPEAC 2016), 18-20 January 2016, Prague, Czech Republic , online publication [Conference Paper]
H.A. Du Nguyen, L. Xie, M. Taouil, S. Hamdioui, K.L.M. Bertels, CIM Based Parallel Adder Implementations and Evaluations (January 2016), Workshop on Memristor Technology, Design, Automation and Computing (MemTDAC 2016), 20 January 2016, Prague, Czech republic [Conference Paper]
I. Ashraf, M. Taouil, K.L.M. Bertels, Memory Profiling for Intra-Application Data-Communication Quantification: A Survey 1515_memory_profiling_for_intraapplication_datacommunication_q.pdf (December 2015), 10th IEEE International Design & Test Symposium (IDT 2015), 14-16 December 2015, Dead Sea, Jordan [Conference Paper]
C. Pham-Quoc, I. Ashraf, Z. Al-Ars, K.L.M. Bertels, Heterogeneous Hardware Accelerators with Hybrid Interconnect: an Automated Design Approach 1498_heterogeneous_hardware_accelerators_with_hybrid_interconnec.pdf (November 2015), International Conference on Advanced Computing and Applications (ACOMP 2015), 23-25 November 2015, Ho Chi Minh City, Vietnam [Conference Proceedings]
S. Hamdioui, M. Taouil, H.A. Du Nguyen, M.A.B. Haron, L. Xie, K.L.M. Bertels, Memristor: The Enabler of Computation-in-Memory Architecture for Big-Data (November 2015), International Conference on Memristive Systems (MEMRISYS 2015), 8 - 10 November 2015, Paphos, Cyprus [Conference Proceedings]
N. Ahmed, V.M. Sima, E.J. Houtgast, K.L.M. Bertels, Z. Al-Ars, Heterogeneous Hardware/Software Acceleration of the BWA-MEM DNA Alignment Algorithm 1500_heterogeneous_hardwaresoftware_acceleration_of_the_bwamem.pdf (November 2015), International Conference On Computer Aided Design (ICCAD 2015), 2-6 November 2015, Austin, USA [Conference Paper]
L. Xie, H.A. Du Nguyen, M. Taouil, S. Hamdioui, K.L.M. Bertels, Fast Boolean Logic Mapped on Memristor Crossbar 1505_fast_boolean_logic_mapped_on_memristor_crossbar.pdf (October 2015), 33rd IEEE International Conference on Computer Design (ICCD 2015), 18-21 October 2015, New York, USA , Best Paper Award [Conference Paper]
H. Mushtaq, Z. Al-Ars, K.L.M. Bertels, Calculation of Worst-Case Execution Time for Multicore Processors using Deterministic Execution 1679_calculation_of_worstcase_execution_time_for_multicore_proc.pdf (September 2015), 25th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2015), 1-4 September 2015, Salvador, Brazil [Conference Paper]
E.J. Houtgast, V.M. Sima, K.L.M. Bertels, Z. Al-Ars, An FPGA-Based Systolic Array to Accelerate the BWA-MEM Genomic Mapping Algorithm 1499_an_fpgabased_systolic_array_to_accelerate_the_bwamem_geno.pdf (September 2015), International Conference On Embedded Computer Systems: Architectures, Modeling, And Simulation (SAMOS XV (2015)), 20-23 July 2015, Samos, Greece [Conference Paper]
H.A. Du Nguyen, L. Xie, R. Nane, M. Taouil, S. Hamdioui, K.L.M. Bertels, Computation-In-Memory Based Parallel Adder 1497_computationinmemory_based_parallel_adder.pdf (July 2015), IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH 15), 8-10 July 2015, Boston, USA [Conference Paper]
I. Ashraf, V.M. Sima, K.L.M. Bertels, Intra-Application Data-Communication Characterization 1488_intraapplication_datacommunication_characterization.pdf (July 2015), 1st International Workshop on Communication Architectures at Extreme Scale (ExaComm 2015), 16 July 2015, Frankfurt, Germany [Conference Paper]
I. Ashraf, K.L.M. Bertels, N. Khammassi, J.C. Le Lann, Communication-aware Parallelization Strategies for High Performance Applications 1487_communicationaware_parallelization_strategies_for_high_per.pdf (July 2015), IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 08-10 July 2015, Montpellier, France [Conference Paper]
L. Xie, H.A. Du Nguyen, M. Taouil, S. Hamdioui, K.L.M. Bertels, Interconnect Networks for Memristor Crossbar (July 2015), IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH 15), 8-10 July 2015, Boston, USA [Conference Proceedings]
S. Hamdioui, L. Xie, H.A. Du Nguyen, M. Taouil, K.L.M. Bertels, Memristor Based Computation-in-Memory Architecture for Data-Intensive Applications 1476_memristor_based_computationinmemory_architecture_for_data.pdf (March 2015), 18th Design, Automation & Test in Europe conference (DATE 2015), 9-13 March 2015, Grenoble, France [Conference Paper]
E. Vermij, L Fiorin, R Jongerius, C Hagleitner, K.L.M. Bertels, Challenges in exascale radio astronomy: can the SKA ride the technology wave? 1471_challenges_in_exascale_radio_astronomy_can_the_ska_ride_th.pdf (February 2015), International Journal of High Performance Computing Applications (IJHPCA), volume 29, issue 1 [Journal Paper]
M. de Jong, V.M. Sima, K.L.M. Bertels, D. Thomas, FPGA-accelerated Monte-Carlo integration using stratified sampling and Brownian bridges 1542_fpgaaccelerated_montecarlo_integration_using_stratified_s.pdf (December 2014), International Conference on Field Programmable Technology (FPT 2014), 10-12 December 2014, Shanghai, China [Conference Paper]
I. Ashraf, V.M. Sima, K.L.M. Bertels, MCProf: Memory and Communication Profiler (November 2014), Technical Report, Computer Engineering Lab [Technical Report]
R. Nane, V.M. Sima, C. Pham-Quoc, F Goncalves, K.L.M. Bertels, High-Level Synthesis in the Delft Workbench Hardware/Software Co-design Tool-Chain 1439_highlevel_synthesis_in_the_delft_workbench_hardwaresoftwa.pdf (August 2014), 12th IEEE International Conference on Embedded and Ubiquitous Computing (EUC 2014), 26-28 August 2014, Milan, Italy [Conference Paper]
E. Vermij, L Fiorin, C Hagleitner, K.L.M. Bertels, Exascale Radio Astronomy: Can We Ride the Technology Wave? (June 2014), International Supercomputing Conference (ISC 2014), 22-26 June 2014, Leipzig, Germany [Conference Paper]
C. Pham-Quoc, Z. Al-Ars, K.L.M. Bertels, Automated Hybrid Interconnect Design for FPGA Accelerators Using Data Communication Profiling 1437_automated_hybrid_interconnect_design_for_fpga_accelerators.pdf (May 2014), 28th International Parallel & Distributed Processing Symposium Workshops (IPDPSW 2014), 19-23 May 2014, Phoenix, USA [Conference Paper]
Z. Al-Ars, K.L.M. Bertels, E. Cuppen, Integrated Approach to Whole Genome Diagnostics 1431_integrated_approach_to_whole_genome_diagnostics.pdf (April 2014), Netherlands Bioinformatics Conference (NBIC 2014), 8-9 April 2014, Lunteren, the Netherlands [Conference Paper]
C. Wang, X. Li, X. Zhou, Y. Chen, K.L.M. Bertels, Co-processing with dynamic reconfiguration on heterogeneous MPSoC: practices and design tradeoffs (poster) (February 2014), International Symposium on Field-Programmable Gate Arrays (FPGA), 26-28 February 2014, Monterey , abstract only [Conference Paper]
I. Ashraf, K.L.M. Bertels, Memory Profiling for Intra-application Data-Communication Quantification: a Survey (January 2014), Technical Report, Computer Engineering Lab [Technical Report]
M Torquati, K.L.M. Bertels, S Karlsson, F Pacull, Smart Multicore Embedded Systems (January 2014), Published by Springer [Book]
H. Mushtaq, Z. Al-Ars, K.L.M. Bertels, Accurate and Efficient Identification of Worst-Case Execution Time for Multicore Processors: A Survey 1400_accurate_and_efficient_identification_of_worstcase_executi.pdf (December 2013), 8th IEEE International Design and Test Symposium (IDT 2013), 16-18 December 2013, Marrakesh, Morocco [Conference Paper]
J.M.P. Cardoso, T. Carvalho, J G de F. Coutinho, R Nobre, R. Nane, P. Diniz, Z. Petrov, W. Luk, K.L.M. Bertels, Controlling a complete hardware synthesis toolchain with LARA aspects 1394_controlling_a_complete_hardware_synthesis_toolchain_with_la.pdf (November 2013), Microprocessors and Microsystems (MICPRO), volume 37, issue 8 [Journal Paper]
C. Pham-Quoc, Z. Al-Ars, K.L.M. Bertels, Heterogeneous Hardware Accelerator Architecture for Streaming Image Processing 1387_heterogeneous_hardware_accelerator_architecture_for_streami.pdf (October 2013), International Conference on Advanced Technologies for Communications (ATC 2013), 16-18 October 2013, Hochiminh City, Vietnam [Conference Paper]
I. Ashraf, S.A. Ostadzadeh, R.J. Meeuws, K.L.M. Bertels, Evaluation Methodology for Data Communication-aware Application Partitioning 1380_evaluation_methodology_for_data_communicationaware_applica.pdf (August 2013), 1st Workshop on Runtime and Operating Systems for the Many-core Era (ROME 2013), 26 August 2013, Aachen, Germany , http://dx.doi.org/10.1007/978-3-642-54420-0_72 [Conference Paper]
R Nobre, J.M.P. Cardoso, B Olivier, R. Nane, L Fitzpatrick, J G de F. Coutinho, J. van Someren, V.M. Sima, K.L.M. Bertels, P. Diniz, Hardware/Software Compilation (August 2013), Book Title "Compilation and Synthesis for Embedded Reconfigurable Systems: An Aspect-Oriented Approach", Published by Springer [Book Chapter]
T. Marconi, K.L.M. Bertels, G.N. Gaydadjiev, A Novel Productivity-Driven Logic Element for Field-Programmable Devices 1343_a_novel_productivitydriven_logic_element_for_fieldprogram.pdf (July 2013), International Journal of Electronics (IJE) [Journal Paper]
C. Pham-Quoc, Z. Al-Ars, K.L.M. Bertels, Heterogeneous Hardware Accelerators Interconnect: An Overview 1371_heterogeneous_hardware_accelerators_interconnect_an_overvi.pdf (June 2013), NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2013), 25-27 June 2013, Torino, Italy [Conference Paper]
R.J. Meeuws, S.A. Ostadzadeh, C. Galuzzi, V.M. Sima, R. Nane, K.L.M. Bertels, Quipu: A Statistical Modelling Approach for Predicting Hardware Resources 1351_quipu_a_statistical_modelling_approach_for_predicting_hard.pdf (May 2013), ACM Transactions on Reconfigurable Technology and Systems (TRETS), volume 6, issue 1 [Journal Paper]
T. Abdullah, K.L.M. Bertels, Nature Inspired Self organization for Adhoc Grids 1333_nature_inspired_self_organization_for_adhoc_grids.pdf (March 2013), The 27th IEEE International Conference on Advanced Information Networking and Applications (AINA), 25-28 March 2013, Barcelona [Conference Paper]
C. Pham-Quoc, J. Heisswolf, S. Wenner, Z. Al-Ars, J.A. Becker, K.L.M. Bertels, Hybrid Interconnect Design for Heterogeneous Hardware Accelerators 1324__hybrid_interconnect_design_for_heterogeneous_hardware__acc.pdf (March 2013), Design, Automation & Test in Europe Conference & Exhibition (DATE 2013), 18-22 March 2013, Grenoble, France [Conference Paper]
H. Mushtaq, Z. Al-Ars, K.L.M. Bertels, Efficient Software Based Fault Tolerance Approach on Multicore Platforms 1321_efficient_software_based_fault_tolerance_approach_on_multic.pdf (March 2013), Design, Automation & Test in Europe Conference & Exhibition (DATE 2013), 18-22 March 2013, Grenoble, France [Conference Paper]
C. Pham-Quoc, Z. Al-Ars, K.L.M. Bertels, Heterogeneous Hardware Accelerators Interconnect: An Overview 1335_heterogeneous_hardware_accelerators_interconnect_an_overvi.pdf (January 2013), 7th HiPEAC Workshop on Reconfigurable Computing (WRC 2013), 21 January 2013, Berlin, Germany [Conference Paper]
C. Pham-Quoc, Z. Al-Ars, K.L.M. Bertels, Rule-Based Data Communication Optimization Using Quantitative Communication Profiling 1224_rulebased_data_communication_optimization_using_quantitati.pdf (December 2012), International Conference on Field-Programmable Technology (FPT 2012), 10-12 December 2012, Seoul, Korea [Conference Paper]
R. Nane, V.M. Sima, K.L.M. Bertels, Area Constraint Propagation in High Level Synthesis 601_area_constraint_propagation_in_high_level_synthesis.pdf (December 2012), International Conference on Field-Programmable Technology (FPT 2012), 10-12 December 2012, Seoul, Korea [Conference Paper]
C. Pham-Quoc, Z. Al-Ars, K.L.M. Bertels, A Heuristic-based Communication-aware Hardware Optimization Approach in Heterogeneous Multicore Systems 1316_a_heuristicbased_communicationaware_hardware_optimization.pdf (December 2012), International Conference on ReConFigurable Computing and FPGAs (ReConFig 2012), 5-7 December 2012, Cancun, Mexico [Conference Paper]
R. Nane, V.M. Sima, K.L.M. Bertels, A Lightweight Speculative and Predicative Scheme for Hardware Execution 1312_a_lightweight_speculative_and_predicative_scheme_for_hardwa.pdf (December 2012), International Conference on ReConFigurable Computing and FPGAs (ReConFig 2012), 5-7 December 2012, Cancun, Mexico [Conference Paper]
H. Mushtaq, Z. Al-Ars, K.L.M. Bertels, Fault Tolerance on Multicore processors using Deterministic Multithreading 1322_fault_tolerance_on_multicore_processors_using_deterministic.pdf (November 2012), International Design & Test Symposium (IDT 2012), 15-17 December 2012, Doha, Qatar [Conference Paper]
H. Mushtaq, Z. Al-Ars, K.L.M. Bertels, DetLock: Portable and Efficient Deterministic Execution for Shared Memory Multicore Systems 1311_detlock_portable_and_efficient_deterministic_execution_for.pdf (November 2012), 5th International Workshop on Multi-Core Computing Systems (MuCoCoS 2012), 16 November 2012, Salt Lake City, USA [Conference Paper]
R. Nane, V.M. Sima, B Olivier, R.J. Meeuws, Y.D. Yankova, K.L.M. Bertels, DWARV 2.0: A CoSy-based C-to-VHDL Hardware Compiler 600_dwarv_20_a_cosybased_ctovhdl_hardware_compiler.pdf (August 2012), 22nd International Conference on Field Programmable Logic and Applications (FPL 2012), 29-31 August 2012, Oslo, Norway [Conference Paper]
I. Ashraf, S.A. Ostadzadeh, R.J. Meeuws, K.L.M. Bertels, Communication-aware HW/SW Co-design for Heterogeneous Multicore Platforms 143_communicationaware_hwsw_codesign_for_heterogeneous_multic.pdf (July 2012), 10th International Workshop on Dynamic Analysis (WODA 2012), 15 July 2012, Minneapolis, USA [Conference Paper]
M. Shahsavari, Z. Al-Ars, K.L.M. Bertels, Evaluation of Different Task Scheduling Policies in Multi-Core Systems with Reconfigurable Hardware 1295_evaluation_of_different_task_scheduling_policies_in_multic.pdf (July 2012), 8th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES 2012), 8-14 July 2012, Fiuggi, Italy [Conference Paper]
M.F. Nadeem, I. Ashraf, S.A. Ostadzadeh, S. Wong, K.L.M. Bertels, Task Scheduling in Large-scale Distributed Systems Utilizing Partial Reconfigurable Processing Elements 140_task_scheduling_in_largescale_distributed_systems_utilizing.pdf (May 2012), 26th IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW 2012), 21-25 May 2012, Shanghai, China [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, I. Ashraf, C. Galuzzi, K.L.M. Bertels, Profile-Guided Application Partitioning for Heterogeneous Reconfigurable Platforms 137_profileguided_application_partitioning_for_heterogeneous_re.pdf (May 2012), 16th International Symposium on Computer Architecture and Digital Systems (CADS 2012), 2-3 May 2012, Shiraz, Iran [Conference Paper]
H. Mushtaq, Z. Al-Ars, K.L.M. Bertels, A User-level Library for Fault Tolerance on Shared Memory Multicore Systems 135_a_userlevel_library_for_fault_tolerance_on_shared_memory_mu.pdf (April 2012), 15th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2012), 18-20 April 2012, Tallinn, Estonia [Conference Paper]
B. Pourebrahimi, K.L.M. Bertels, Self-Adaptive Economic-Based Resource Allocation in Ad-Hoc Grids (April 2012), International Journal of Embedded and Real-Time Communication Systems (IJERTCS), volume 3, issue 2 [Journal Paper]
G. Mariani, V.M. Sima, G. Palermo, V. Zaccaria, C. Silvano, K.L.M. Bertels, Using multi-objective design space exploration to enable run-time resource management for reconfigurable architectures 128_using_multiobjective_design_space_exploration_to_enable_run.pdf (March 2012), Design, Automation & Test in Europe Conference & Exhibition (DATE 2012), 12-16 March 2012, Dresden, Germany [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, I. Ashraf, C. Galuzzi, K.L.M. Bertels, The Q² Profiling Framework: Driving Application Mapping for Heterogeneous Reconfigurable Platforms 132_the_q_profiling_framework_driving_application_mapping_for.pdf (March 2012), 8th International Symposium on Applied Reconfigurable Computing (ARC 2012), 19-23 March 2012, Hong Kong, China [Conference Paper]
K. Sigdel, M. Thompson, C. Galuzzi, A.D. Pimentel, K.L.M. Bertels, Evaluation of Runtime Task Mapping using the rSesame Framework 97_evaluation_of_runtime_task_mapping_using_the_rsesame_framewor.pdf (December 2011), International Journal of Reconfigurable Computing (IJRC), volume 2012, issue Article ID 234230 [Journal Paper]
H. Mushtaq, Z. Al-Ars, K.L.M. Bertels, Survey of Fault Tolerance Techniques for Shared Memory Multicore/Multiprocessor Systems 94_survey_of_fault_tolerance_techniques_for_shared_memory_multic.pdf (December 2011), IEEE 6th International Design and Test Workshop (IDT 2011), 11-14 December 2011, Beirut, Lebanon [Conference Paper]
R. Nane, S. van Haastregt, T.P. Stefanov, B. Kienhuis, V.M. Sima, K.L.M. Bertels, IP-XACT Extensions for Reconfigurable Computing 8_ipxact_extensions_for_reconfigurable_computing.pdf (September 2011), 22nd IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP 2011), 11-14 September 2011, Santa Monica, USA [Conference Paper]
M.F. Nadeem, S.A. Ostadzadeh, M. Nadeem, S. Wong, K.L.M. Bertels, A Simulation Framework for Reconfigurable Processors in Large-scale Distributed Systems (September 2011), 40th International Conference on Parallel Processing (ICPP 2011), 13-16 September 2011, Taipei City, Taiwan [Conference Paper]
J.M.P. Cardoso, K.L.M. Bertels, G.K. Kuzmanov, R. Nane, V.M. Sima, REFLECT: Rendering FPGAs to Multi-core Embedded Computing (August 2011), Book Title "Reconfigurable Computing - From FPGAs to Hardware/Software Codesign", Published by Springer [Book Chapter]
G.K. Kuzmanov, V.M. Sima, K.L.M. Bertels, G. Coutinho, W. Luk, G. Marchiori, R. Tripiccione, F. Ferrandi, hArtes: Holistic Approach to Reconfigurable Real-Time Embedded Systems (August 2011), Book Title "Reconfigurable Computing - From FPGAs to Hardware/Software Codesign", Published by Springer [Book Chapter]
J.M.P. Cardoso, R. Nane, P. Diniz, Z. Petrov, K Kratky, K.L.M. Bertels, M Hubner, F Goncalves, G. Coutinho, G Constantinides, B Olivier, W. Luk, J.A. Becker, G.K. Kuzmanov, A New Approach to Control and Guide the Mapping of Computations to FPGAs 602_a_new_approach_to_control_and_guide_the_mapping_of_computati.pdf (July 2011), International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'11), 18-21 July 2011, Las Vegas, USA [Conference Paper]
R.J. Meeuws, C. Galuzzi, K.L.M. Bertels, High Level Quantitative Hardware Prediction Modeling using Statistical methods 31_high_level_quantitative_hardware_prediction_modeling_using_st.pdf (July 2011), International Conference on Embedded Computer Systems: Architectures, Models, and Simulations (SAMOS XI), 18-21 July 2011, Samos, Greece [Conference Paper]
S.A. Ostadzadeh, M. Corina, C. Galuzzi, K.L.M. Bertels, Runtime Extraction of Memory Access Information from the Application Source Code 30_runtime_extraction_of_memory_access_information_from_the_appl.pdf (July 2011), International Conference on High Performance Computing & Simulation (HPCS 2011), 4-8 July 2011, Istanbul, Turkey [Conference Paper]
M.F. Nadeem, S.A. Ostadzadeh, S. Wong, K.L.M. Bertels, Task Scheduling Strategies for Dynamic Reconfigurable Processors in Distributed Systems 34_task_scheduling_strategies_for_dynamic_reconfigurable_process.pdf (July 2011), International Conference on High Performance Computing & Simulation (HPCS 2011), 4-8 July 2011, Istanbul, Turkey [Conference Paper]
K.L.M. Bertels, S.A. Ostadzadeh, R.J. Meeuws, Advanced Profiling of Applications for Heterogeneous Multi-Core Platforms (July 2011), International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA'11), 18-21 July 2011, Las Vegas, USA [Conference Paper]
R. Nane, V.M. Sima, J. van Someren, K.L.M. Bertels, DWARV: A HDL Compiler with Support for Scheduling Custom IP Blocks 737_dwarv_a_hdl_compiler_with_support_for_scheduling_custom_ip.pdf (June 2011), 48th Design Automation Conference (DAC 2011), 5-10 June 2011, San Diego, USA , WIP poster session [Conference Paper]
R. Nane, S. van Haastregt, T.P. Stefanov, B. Kienhuis, K.L.M. Bertels, An HdS Meta-Model Case Study: Integrating Orthogonal Computation Models 734_an_hds_metamodel_case_study_integrating_orthogonal_computa.pdf (March 2011), Workshop DATE 2011 : Hardware Dependent Software (HdS) Solutions for SoC Design (DATE 2011 Workshop), 18 March 2011, Grenoble, France [Conference Paper]
O.S. Dragomir, K.L.M. Bertels, Loop Distribution for K-Loops on Reconfigurable Architectures (March 2011), Design, Automation and Test in Europe (DATE 2011), 14-18 March 2011, Grenoble, France [Conference Paper]
S.A. Ostadzadeh, K.L.M. Bertels, QUAD: A Sophisticated Memory Access Profiling Toolset 75_quad_a_sophisticated_memory_access_profiling_toolset.pdf (March 2011), Design, Automation and Test in Europe (DATE 2011), 14-18 March 2011, Grenoble, France , Design Methods and Tools for FPGA-Based Acceleration of Scientific Computing Workshop [Conference Paper]
K. Sigdel, M. Thompson, C. Galuzzi, A.D. Pimentel, K.L.M. Bertels, Runtime Task Mapping Based on Hardware Configuration Reuse 246_runtime_task_mapping_based_on_hardware_configuration_reuse.pdf (December 2010), International Conference on ReConFigurable Computing and FPGAs (ReConFig 2010), 13-15 December 2010, Cancun, Mexico [Conference Paper]
C. Galuzzi, K.L.M. Bertels, The Instruction-Set Extension Problem: A Survey 238_the_instructionset_extension_problem_a_survey.pdf (December 2010), ACM Transactions on Reconfigurable Technology and Systems (TRETS), volume 4, issue 2 [Journal Paper]
L. Hasan, Z. Al-Ars, M. Taouil, K.L.M. Bertels, Performance and Bandwidth Optimization for Biological Sequence Alignment 236_performance_and_bandwidth_optimization_for_biological_sequen.pdf (December 2010), 5th IEEE International Design and Test Workshop (IDT 2010), 14-15 December 2010, Abu Dhabi, UAE [Conference Paper]
Y. Lu, K.L.M. Bertels, G.N. Gaydadjiev, Efficient hardware task reuse and interrupt handling mechanisms for FPGA-based partially reconfigurable systems (December 2010), International Conference on Field-Programmable Technology (FPT 2010), 8-10 December 2010, Beijing, China [Conference Paper]
H. Mushtaq, M. Sabeghi, K.L.M. Bertels, A Runtime Profiler: Toward Virtualization of Polymorphic Computing Platforms 231_a_runtime_profiler_toward_virtualization_of_polymorphic_com.pdf (December 2010), International Conference on ReConFigurable Computing and FPGAs (ReConFig 2010), 13-15 December 2010, Cancun, Mexico [Conference Paper]
T. Marconi, D. Theodoropoulos, K.L.M. Bertels, G.N. Gaydadjiev, A Novel HDL Coding Style to Reduce Power Consumption for Reconfigurable Devices 226_a_novel_hdl_coding_style_to_reduce_power_consumption_for_rec.pdf (December 2010), International Conference on Field-Programmable Technology (FPT 2010), 8-10 December 2010, Beijing, China [Conference Paper]
Z. Nawaz, M. Nadeem, J. van Someren, K.L.M. Bertels, A parallel FPGA design of the Smith-Waterman traceback 220_a_parallel_fpga_design_of_the_smithwaterman_traceback.pdf (December 2010), International Conference on Field-Programmable Technology (FPT 2010), 8-10 December 2010, Beijing, China [Conference Paper]
S. Cechi, A. Primavera, F. Piazza, F. Bettarelli, E. Ciavattini, R. Toppi, G. Coutinho, W. Luk, V.M. Sima, K.L.M. Bertels, The hArtes CarLab: A new approach to advanced algorithms development for automotive audio (November 2010), 129th AES (Audio Engineering Society) Convention (AES), 4-7 November 2010, San Fransisco, USA [Conference Paper]
S.A. Ostadzadeh, K.L.M. Bertels, Dynamic Profiling Framework in the Delft Workbench 250_dynamic_profiling_framework_in_the_delft_workbench.pdf (November 2010), 21th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC), 18-19 November 2010, Veldhoven, The Netherlands [Conference Paper]
K.L.M. Bertels, V.M. Sima, Y.D. Yankova, G.K. Kuzmanov, W. Luk, G. Coutinho, F. Ferrandi, C. Pilato, M. Lattuada, D. Sciuto, A. Michelotti, hArtes: Hardware-Software Codesign for Heterogeneous Multicore Platforms 262_hartes_hardwaresoftware_codesign_for_heterogeneous_multico.pdf (October 2010), IEEE Micro, volume 30, issue 5 , Special Issue on European Multicore Processing Projects [Journal Paper]
S.A. Ostadzadeh, M. Corina, C. Galuzzi, K.L.M. Bertels, tQUAD - Memory Bandwidth Usage Analysis 149_tquad__memory_bandwidth_usage_analysis.pdf (September 2010), 39th International Conference on Parallel Processing (ICPP 2010), 13-16 September 2010, San Diego, USA [Conference Paper]
M. Fazlali, M. Sabeghi, A. Zakerolhosseini, K.L.M. Bertels, Efficient Task Scheduling for Runtime Reconfigurable Systems 157_efficient_task_scheduling_for_runtime_reconfigurable_systems.pdf (August 2010), Journal of Systems Architecture - Embedded System Design (JSA), volume 56, issue 11 [Journal Paper]
K. Sigdel, M. Thompson, C. Galuzzi, K.L.M. Bertels, System-Level Design Space Exploration Framework for Reconfigurable Architectures (July 2010), 6th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES 2010), 11-17 July 2010, Terrassa, Spain [Conference Paper]
R. Nane, K.L.M. Bertels, A Composable and Integrable Hardware Compiler for Automated Heterogeneous HW/SW co-design Tool-Chains 730_a_composable_and_integrable_hardware_compiler_for_automated.pdf (July 2010), 6th International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES 2010), 11-17 July 2010, Terrassa, Spain [Conference Paper]
M. Sabeghi, H. Mushtaq, K.L.M. Bertels, Runtime Multitasking Support on Reconfigurable Accelerators 181_runtime_multitasking_support_on_reconfigurable_accelerators.pdf (June 2010), 1st International Workshop on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART 2010), 1 June 2010, Tsukuba, Japan [Conference Paper]
M. Sabeghi, K.L.M. Bertels, Interfacing Operating Systems and Polymorphic Computing Platforms based on the MOLEN Programming Paradigm 180_interfacing_operating_systems_and_polymorphic_computing_plat.pdf (June 2010), 6th Annual Workshop on the Interaction between Operating Systems and Computer Architecture (WIOSCA 2010), 19 June 2010, Saint-Malo, France [Conference Paper]
T. Marconi, J.Y. Hur, K.L.M. Bertels, G.N. Gaydadjiev, A Novel Configuration Circuit Architecture to Speedup Reconfiguration and Relocation for Partially Reconfigurable Devices 179_a_novel_configuration_circuit_architecture_to_speedup_reconf.pdf (June 2010), IEEE 8th Symposium on Application Specific Processors (SASP 2010), 13-14 June 2010, Anaheim, USA [Conference Paper]
Y. Lu, T. Marconi, K.L.M. Bertels, G.N. Gaydadjiev, A Communication Aware Online Task Scheduling Algorithm for FPGA-based Partially Reconfigurable Systems 189_a_communication_aware_online_task_scheduling_algorithm_for_f.pdf (May 2010), 18th Annual International IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2010), 2-4 May 2010, Charlotte, USA [Conference Paper]
Z. Nawaz, H.E. Sumbul, K.L.M. Bertels, Fast Smith-Waterman hardware implementation 201_fast_smithwaterman_hardware_implementation.pdf (April 2010), 24th IEEE International Parallel & Distributed Processing Symposium (IPDPS 2010), 19-23 April 2010, Atlanta, USA [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, C. Galuzzi, K.L.M. Bertels, QUAD - Quantitative Usage Analysis of Data 215_quad__quantitative_usage_analysis_of_data.pdf (March 2010), Design, Automation and Test in Europe (DATE 2010), 8-12 March 2010, Dresden, Germany , Designing for Embedded Parallel Computing Platforms: Architectures, Design Tools, and Applications Workshop, Poster Session: Applications & Architectures [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, C. Galuzzi, K.L.M. Bertels, QUAD - A Memory Access Pattern Analyser 207_quad__a_memory_access_pattern_analyser.pdf (March 2010), 6th International Symposium on Applied Reconfigurable Computing (ARC 2010), 17-19 March 2010, Bangkok, Thailand [Conference Paper]
T. Marconi, Y. Lu, K.L.M. Bertels, G.N. Gaydadjiev, 3D Compaction: a Novel Blocking-aware Algorithm for Online Hardware Task Scheduling and Placement on 2D Partially Reconfigurable Devices 206_3d_compaction_a_novel_blockingaware_algorithm_for_online_h.pdf (March 2010), 6th International Symposium on Applied Reconfigurable Computing (ARC 2010), 17-19 March 2010, Bangkok, Thailand [Conference Paper]
K. Sigdel, M. Thompson, C. Galuzzi, A.D. Pimentel, K.L.M. Bertels, Evaluation of Runtime Task Mapping Heuristics with rSesame - A Case Study 277_evaluation_of_runtime_task_mapping_heuristics_with_rsesame_.pdf (March 2010), Design, Automation and Test in Europe (DATE 2010), 8-12 March 2010, Dresden, Germany [Conference Paper]
T. Abdullah, K.L.M. Bertels, L.O. Alima, Z. Nawaz, Effect of the Degree of Neighborhood on Resource Discovery in Ad Hoc Grids 219_effect_of_the_degree_of_neighborhood_on_resource_discovery_i.pdf (February 2010), International conference on Architecture of Computing Systems (ARCS 2010), 22-25 February 2010, Hannover, Germany [Conference Paper]
T. Marconi, D. Theodoropoulos, K.L.M. Bertels, G.N. Gaydadjiev, A Novel HDL Coding Style for Power Reduction in FPGAs 281_a_novel_hdl_coding_style_for_power_reduction_in_fpgas.pdf (January 2010), Technical Report, Computer Engineering Lab [Technical Report]
T. Marconi, K.L.M. Bertels, G.N. Gaydadjiev, A Novel Logic Element for Power Reduction in FPDs 280_a_novel_logic_element_for_power_reduction_in_fpds.pdf (January 2010), Technical Report, Computer Engineering Lab [Technical Report]
T. Marconi, Y. Lu, K.L.M. Bertels, G.N. Gaydadjiev, A Novel Fast Online Placement Algorithm on 2D Partially Reconfigurable Devices 371_a_novel_fast_online_placement_algorithm_on_2d_partially_reco.pdf (December 2009), International Conference on Field-Programmable Technology (FPT 2009), 9-11 December 2009, Sidney, Australia [Conference Paper]
Z. Nawaz, T.P. Stefanov, K.L.M. Bertels, Efficient hardware generation for dynamic programming problems 368_efficient_hardware_generation_for_dynamic_programming_proble.pdf (December 2009), International Conference on Field-Programmable Technology (FPT 2009), 9-11 December 2009, Sidney, Australia [Conference Paper]
O.S. Dragomir, K.L.M. Bertels, K-Loops: Loop Skewing for Reconfigurable Architectures 366_kloops_loop_skewing_for_reconfigurable_architectures.PDF (December 2009), International Conference on Field-Programmable Technology (FPT 2009), 9-11 December 2009, Sidney, Australia [Conference Paper]
K. Sigdel, M. Thompson, C. Galuzzi, A.D. Pimentel, K.L.M. Bertels, rSesae - A Generic System-Level Runtime Simulation Framework for Reconfigurable Architectures 382_rsesae__a_generic_systemlevel_runtime_simulation_framework.pdf (December 2009), International Conference on Field-Programmable Technology (FPT 2009), 9-11 December 2009, Sidney, Australia [Conference Paper]
V.M. Sima, K.L.M. Bertels, Runtime memory allocation in a heterogeneous reconfigurable platform 375_runtime_memory_allocation_in_a_heterogeneous_reconfigurable.pdf (December 2009), International Conference on Reconfigurable Computing and FPGAs (ReConFig 2009), 9-11 December 2009, Cancun, Mexico [Conference Paper]
A. Shahbahrami, M. Ahmadi, S. Wong, K.L.M. Bertels, A New Approach to Implement Discrete Wavelet Transform using Collaboration of Reconfigurable Elements 373_a_new_approach_to_implement_discrete_wavelet_transform_using.pdf (December 2009), International Conference on Reconfigurable Computing and FPGAs (ReConFig 2009), 9-11 December 2009, Cancun, Mexico [Conference Paper]
T. Abdullah, K.L.M. Bertels, Agent Toolkits for Ad Hoc Grids 291_agent_toolkits_for_ad_hoc_grids.pdf (September 2009), 32nd Annual Conference on Artificial Intelligence (KI 2009), 15-18 September 2009, Paderborn, Germany [Conference Paper]
O.S. Dragomir, T.P. Stefanov, K.L.M. Bertels, Optimal Loop Unrolling and Shifting for Reconfigurable Architectures 286_optimal_loop_unrolling_and_shifting_for_reconfigurable_archi.pdf (September 2009), ACM Transactions on Reconfigurable Technology and Systems (TRETS), volume 2, issue 4 [Journal Paper]
M. Sabeghi, V.M. Sima, K.L.M. Bertels, Compiler Assisted Runtime Task Scheduling on a Reconfigurable Computer 295_compiler_assisted_runtime_task_scheduling_on_a_reconfigurabl.pdf (August 2009), 19th International Conference on Field Programmable Logic and Applications (FPL 2009), 31 August - 2 September 2009, Prague, Czech Republic [Conference Paper]
Z. Nawaz, T. Marconi, T.P. Stefanov, K.L.M. Bertels, Optimal pipeline design for Recursive Variable Expansion 322_optimal_pipeline_design_for_recursive_variable_expansion.pdf (July 2009), 5th International Summer School on Advanced Computer Architecture and Compilation for Embedded Systems (ACACES 2009), 12-18 July 2009, Terrassa, Spain [Conference Paper]
M. Fazlali, A. Zakerolhosseini, M. Sabeghi, K.L.M. Bertels, G.N. Gaydadjiev, Data path Configuration Time Reduction for Run-time Reconfigurable Systems 313_data_path_configuration_time_reduction_for_runtime_reconfig.pdf (July 2009), International Conference on Engineering of Reconfigurable Systems & Algorithms (ERSA 2009), 13-16 July 2009, Las Vegas, USA [Conference Paper]
V.M. Sima, K.L.M. Bertels, Runtime decision of hardware or software execution on a heterogeneous reconfigurable platform 343_runtime_decision_of_hardware_or_software_execution_on_a_hete.pdf (May 2009), 16th Reconfigurable Architectures Workshop (RAW 2009), 25-26 May 2009, Rome, Italy [Conference Paper]
K. Sigdel, M. Thompson, A.D. Pimentel, C. Galuzzi, K.L.M. Bertels, System-Level Runtime Mapping Exploration of Reconfigurable Architectures 342_systemlevel_runtime_mapping_exploration_of_reconfigurable_a.pdf (May 2009), 16th Reconfigurable Architectures Workshop (RAW 2009), 25-26 May 2009, Rome, Italy [Conference Paper]
T. Abdullah, K.L.M. Bertels, L.O. Alima, Ant Colony Inspired Microeconomic based Resource Management in Ad Hoc Grids (May 2009), 4th International Conference on Grid and Pervasive Computing (GPC 2009), 4-8 May 2009, Geneva, Switzerland [Conference Paper]
Z. Nawaz, T. Marconi, T.P. Stefanov, K.L.M. Bertels, Flexible Pipelining Design for Recursive Variable Expansion 336_flexible_pipelining_design_for_recursive_variable_expansion.pdf (May 2009), 23rd IEEE International Symposium on Parallel and Distributed Processing (IPDPS 2009), 23-29 May 2009, Rome, Italy [Conference Paper]
C. Galuzzi, D. Theodoropoulos, R.J. Meeuws, K.L.M. Bertels, Algorithms for the Automatic Extension of an Instruction-Set (April 2009), Design, Automation and Test in Europe (DATE 2009), 20-24 April 2009, Nice, France [Conference Paper]
M. Sabeghi, K.L.M. Bertels, Toward a Runtime System for Reconfigurable Computers: A Virtualization Approach 353_toward_a_runtime_system_for_reconfigurable_computers_a_virt.PDF (April 2009), Design, Automation and Test in Europe (DATE 2009), 20-24 April 2009, Nice, France [Conference Paper]
Y. Lu, T. Marconi, K.L.M. Bertels, G.N. Gaydadjiev, Online Task Scheduling for the FPGA-Based Partially Reconfigurable Systems 362_online_task_scheduling_for_the_fpgabased_partially_reconfig.pdf (March 2009), 5th International Workshop on Applied Reconfigurable Computing: Architectures, Tools and Applications (ARC 2009), 16-18 March 2009, Karlsruhe, Germany [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, K. Sigdel, K.L.M. Bertels, A Multipurpose Clustering Algorithm for Task Partitioning in Multicore Reconfigurable Systems 360_a_multipurpose_clustering_algorithm_for_task_partitioning_in.pdf (March 2009), International Workshop on Multi-Core Computing Systems (MuCoCoS 20009), 16 March 2009, Fukuoka, Japan [Conference Paper]
T. Abdullah, L.O. Alima, V. Sokolov, D. Calomme, K.L.M. Bertels, Hybrid Resource Discovery Mechanism in Ad Hoc Grid Using Structured Overlay 359_hybrid_resource_discovery_mechanism_in_ad_hoc_grid_using_str.pdf (March 2009), 22nd International Conference on Architecture of Computing Systems (ARCS 2009), 10-13 March 2009, Delft, The Netherlands [Conference Paper]
T. Abdullah, L. Mhamdi, B. Pourebrahimi, K.L.M. Bertels, Resource Discovery with Dynamic Matchmakers in Ad Hoc Grid 358_resource_discovery_with_dynamic_matchmakers_in_ad_hoc_grid.pdf (March 2009), 4th International Conference on Systems (ICONS 2009), 1-6 March 2009, Cancun, Mexico [Conference Paper]
S.A. Ostadzadeh, R.J. Meeuws, K. Sigdel, K.L.M. Bertels, A Clustering Framework for Task Partitioning Based on Function-level Data Usage Analysis 364_a_clustering_framework_for_task_partitioning_based_on_functi.pdf (February 2009), 17th International Symposium on Field Programmable Gate Arrays (FPGA 2009), 22-24 February 2009, Monterey, USA , POSTER SESSION: Processors & CAD tools [Conference Paper]
C. Galuzzi, D. Theodoropoulos, R.J. Meeuws, K.L.M. Bertels, Automatic Instruction-Set Extensions with the Linear Complexity Spiral Search (December 2008), IEEE International Conference on Reconfigurable Computing and FPGAs (ReConFig 2008), 3-5 December 2008, Cancun, Mexico [Conference Paper]
L. Hasan, Z. Al-Ars, Z. Nawaz, K.L.M. Bertels, Hardware Implementation of the Smith-Waterman Algorithm Using Recursive Variable Expansion 512_hardware_implementation_of_the_smithwaterman_algorithm_usin.pdf (December 2008), 3rd IEEE International Design and Test Workshop (IDT 2008), 20-22 December 2008, Monastir, Tunisia [Conference Paper]
R.J. Meeuws, K. Sigdel, Y.D. Yankova, K.L.M. Bertels, High Level Quantitative Interconnect Estimation for Early Design Space Exploration 508_high_level_quantitative_interconnect_estimation_for_early_de.pdf (December 2008), International Conference on Field-Programmable Technology (ICFPT 2008), 7-10 December 2008, Taipei, Taiwan [Conference Paper]
M. Sabeghi, K.L.M. Bertels, Current Trends in Resource Management of Reconfigurable Systems 537_current_trends_in_resource_management_of_reconfigurable_syst.pdf (November 2008), 19th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2008), 27-28 November 2008, Veldhoven, The Netherlands [Conference Paper]
K. Sigdel, M. Thompson, A.D. Pimentel, K.L.M. Bertels, Towards System Level Runtime Design Space Exploration of Reconfigurable Architecture 530_towards_system_level_runtime_design_space_exploration_of_rec.pdf (November 2008), 19th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2008), 27-28 November 2008, Veldhoven, The Netherlands [Conference Paper]
O.S. Dragomir, K.L.M. Bertels, Generic Loop Parallelization for Reconfigurable Architectures 524_generic_loop_parallelization_for_reconfigurable_architecture.pdf (November 2008), 19th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2008), 27-28 November 2008, Veldhoven, The Netherlands [Conference Paper]
V.M. Sima, K.L.M. Bertels, Compiler and OpenMP framework to allow dynamic hardware allocation on reconfigurable platforms 523_compiler_and_openmp_framework_to_allow_dynamic_hardware_allo.pdf (November 2008), 19th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2008), 27-28 November 2008, Veldhoven, The Netherlands [Conference Paper]
B. Pourebrahimi, L.O. Alima, K.L.M. Bertels, Market Formulation for Resources Allocation in an Ad-hoc Grid 547_market_formulation_for_resources_allocation_in_an_adhoc_gri.pdf (October 2008), 2nd IEEE International Conference on Self-Adaptive and Self-Organizing Systems Workshops (SASOW 2008), 20-24 October 2008, Venice, Italy [Conference Paper]
T. Abdullah, V. Sokolov, B. Pourebrahimi, K.L.M. Bertels, Self-Organizing Dynamic Ad Hoc Grids 546_selforganizing_dynamic_ad_hoc_grids.pdf (October 2008), 2nd IEEE International Conference on Self-Adaptive and Self-Organizing Systems Workshops (SASOW 2008), 20-24 October 2008, Venice, Italy [Conference Paper]
K. Sigdel, M. Thompson, A.D. Pimentel, K.L.M. Bertels, System Level Design Space Exploration and Runtime Mapping of Reconfigurable architecture (September 2008), 8th Architectures and Compilers for Embedded Systems Symposium (ACES 2008), 17-18 September 2008, Edegem, Belgium [Conference Paper]
O.S. Dragomir, K.L.M. Bertels, Extending Loop Unrolling and Shifting for Reconfigurable Architectures 431_extending_loop_unrolling_and_shifting_for_reconfigurable_arc.pdf (September 2008), 8th Architectures and Compilers for Embedded Systems Symposium (ACES 2008), 17-18 September 2008, Edegem, Belgium [Conference Paper]
V.M. Sima, E. Moscu Panainte, K.L.M. Bertels, Resource Allocation Algorithm And OpenMP Extensions For Parallel Execution On A Heterogeneous Reconfigurable Platform 430_resource_allocation_algorithm_and_openmp_extensions_for_para.pdf (September 2008), 18th International Conference on Field Programmable Logic and Applications (FPL 2008), 8-10 September 2008, Heidelberg, Germany [Conference Paper]
O.S. Dragomir, T.P. Stefanov, K.L.M. Bertels, Loop Unrolling and Shifting for Reconfigurable Architectures 428_loop_unrolling_and_shifting_for_reconfigurable_architectures.pdf (September 2008), 18th International Conference on Field Programmable Logic and Applications (FPL 2008), 8-10 September 2008, Heidelberg, Germany [Conference Paper]
Z. Nawaz, M. Shabbir, Z. Al-Ars, K.L.M. Bertels, Acceleration of Smith-Waterman Using Recursive Variable Expansion 425_acceleration_of_smithwaterman_using_recursive_variable_expa.pdf (September 2008), 11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2008), 3-5 September 2008, Parma, Italy [Conference Paper]
R. Nane, K.L.M. Bertels, Scheduling in the Context of Automatic Hardware Generation 727_scheduling_in_the_context_of_automatic_hardware_generation.pdf (September 2008), 8th Architectures and Compilers for Embedded Systems Symposium (ACES 2008), 17-18 September 2008, Edegem, Belgium [Conference Paper]
M. Sabeghi, K.L.M. Bertels, Toward a Run-time Support System for Molen Hardware Organization 435_toward_a_runtime_support_system_for_molen_hardware_organiza.pdf (September 2008), 8th Architectures and Compilers for Embedded Systems Symposium (ACES 2008), 17-18 September 2008, Edegem, Belgium [Conference Paper]
B. Pourebrahimi, K.L.M. Bertels, Auction Protocols for Resource Allocations in Ad-hoc Grids 439_auction_protocols_for_resource_allocations_in_adhoc_grids.pdf (August 2008), 14th International Euro-Par Conference (Euro-Par 2008), 26-29 August 2008, Las Palmas de Gran Canaria, Spain [Conference Paper]
C. Galuzzi, D. Theodoropoulos, K.L.M. Bertels, A Clustering Method for the Identification of convex Disconnected Multiple Input Multiple Output Instructions 463_a_clustering_method_for_the_identification_of_convex_disconn.pdf (July 2008), International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2008), 21-24 July 2008, Samos, Greece [Conference Paper]
O.S. Dragomir, T.P. Stefanov, K.L.M. Bertels, Loop Optimizations for Reconfigurable Architectures 460_loop_optimizations_for_reconfigurable_architectures.pdf (July 2008), 4th International Summer School on Advanced Computer Architecture and Compilation for Embedded Systems (ACACES 2008), 13-19 July 2008, L'Aquila, Italy , ACACES Poster Abstracts [Conference Paper]
K. Sigdel, M. Thompson, A.D. Pimentel, T.P. Stefanov, K.L.M. Bertels, System-Level Design Space Exploration of Dynamic Reconfigurable Architectures 453_systemlevel_design_space_exploration_of_dynamic_reconfigura.pdf (July 2008), 8th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2008), 21-24 July 2008, Samos, Greece [Conference Paper]
B. Pourebrahimi, K.L.M. Bertels, Adaptation to Dynamic Resource Availability in Ad-hoc Grids through a Learning Mechanism 452_adaptation_to_dynamic_resource_availability_in_adhoc_grids.pdf (July 2008), 11th IEEE International Conference on Computational Science and Engineering (CSE 2008), 16-18 July 2008, São Paulo, Brazil [Conference Paper]
Y. Lu, T. Marconi, G.N. Gaydadjiev, K.L.M. Bertels, R.J. Meeuws, A Self-adaptive on-line Task Placement Algorithm for Partially Reconfigurable Systems 489_a_selfadaptive_online_task_placement_algorithm_for_partial.pdf (April 2008), 22nd IEEE International Symposium on Parallel and Distributed Processing (IPDPS 2008), 14-18 April 2008, Miami, USA [Conference Paper]
C. Galuzzi, K.L.M. Bertels, A Framework for the Automatic Generation of Instruction-Set Extensions for Reconfigurable Architectures 501_a_framework_for_the_automatic_generation_of_instructionset.pdf (March 2008), 4th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications (ARC 2008), 26-28 March 2008, London, UK [Conference Paper]
C. Galuzzi, K.L.M. Bertels, The Instruction-Set Extension Problem: A Survey 500_the_instructionset_extension_problem_a_survey.pdf (March 2008), 4th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications (ARC 2008), 26-28 March 2008, London, UK [Conference Paper]
T. Marconi, Y. Lu, K.L.M. Bertels, G.N. Gaydadjiev, Online Hardware Task Scheduling and Placement Algorithm on Partially Reconfigurable Devices 498_online_hardware_task_scheduling_and_placement_algorithm_on_p.pdf (March 2008), 4th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications (ARC 2008), 26-28 March 2008, London, UK [Conference Paper]
O.S. Dragomir, E. Moscu Panainte, K.L.M. Bertels, S. Wong, Optimal Unroll Factor for Reconfigurable Architectures 496_optimal_unroll_factor_for_reconfigurable_architectures.pdf (March 2008), 4th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications (ARC 2008), 26-28 March 2008, London, UK [Conference Paper]
Y. Lu, T. Marconi, G.N. Gaydadjiev, K.L.M. Bertels, An Efficient Algorithm for Free Resources Management on the FPGA 493_an_efficient_algorithm_for_free_resources_management_on_the.pdf (March 2008), Design, Automation and Test in Europe (DATE 2008), 10-14 March 2008, Munich, Germany [Conference Paper]
T. Marconi, Y. Lu, K.L.M. Bertels, G.N. Gaydadjiev, Intelligent Merging Online Task Placement Algorithm for Partial Reconfigurable Systems 492_intelligent_merging_online_task_placement_algorithm_for_part.pdf (March 2008), Design, Automation and Test in Europe (DATE 2008), 10-14 March 2008, Munich, Germany [Conference Paper]
B. Pourebrahimi, S.A. Ostadzadeh, K.L.M. Bertels, Resource Allocation in Market-based Grids Using a History-based Pricing Mechanism 646_resource_allocation_in_marketbased_grids_using_a_historyba.pdf (December 2007), International Conference on Systems, Computing Sciences and Software Engineering (SCSS 2007), 3-12 December 2007, Bridgeport, USA [Conference Paper]
Z. Nawaz, O.S. Dragomir, T. Marconi, E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Recursive Variable Expansion: A Loop Transformation for Reconfigurable Systems 644_recursive_variable_expansion_a_loop_transformation_for_reco.pdf (December 2007), International Conference on Field-Programmable Technology (ICFPT 2007), 12-14 December 2007, Kokurakita, Japan [Conference Paper]
C. Galuzzi, K.L.M. Bertels, S. Vassiliadis, The Spiral Search: A linear Complexity Algorithm for the Generation of Convex Multiple Input Multiple Output Instruction-Set Extensions 642_the_spiral_search_a_linear_complexity_algorithm_for_the_gen.pdf (December 2007), International Conference on Field-Programmable Technology (ICFPT 2007), 12-14 December 2007, Kokurakita, Japan [Conference Paper]
V.M. Sima, E. Moscu Panainte, K.L.M. Bertels, FPGA area allocation for parallel C applications 683_fpga_area_allocation_for_parallel_c_applications.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
D. Theodoropoulos, Y.D. Yankova, G.K. Kuzmanov, K.L.M. Bertels, Automatic hardware generation for the Molen reconfigurable architecture: a G721 case study 661_automatic_hardware_generation_for_the_molen_reconfigurable_a.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
O.S. Dragomir, E. Moscu Panainte, K.L.M. Bertels, Loop Parallelization for Reconfigurable Architectures 682_loop_parallelization_for_reconfigurable_architectures.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
S.A. Ostadzadeh, K.L.M. Bertels, Parallelism Utilization in Embedded Reconfigurable Computing Systems: A Survey of Recent Trends 657_parallelism_utilization_in_embedded_reconfigurable_computing.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
K. Sigdel, R.J. Meeuws, K.L.M. Bertels, A Profiling Framework for Design Space Exploration in Heterogeneous System Context 656_a_profiling_framework_for_design_space_exploration_in_hetero.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
M. Sabeghi, K.L.M. Bertels, M. Naghibzadeh, Deadline vs. Laxity as a Decision Parameter in Fuzzy Real-Time Scheduling 678_deadline_vs_laxity_as_a_decision_parameter_in_fuzzy_realti.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
R.A. Stefan, K.L.M. Bertels, A hardware implementation of the UniSim pipeline model 673_a_hardware_implementation_of_the_unisim_pipeline_model.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
Z. Nawaz, M. Shabbir, Z. Al-Ars, K.L.M. Bertels, Acceleration of Biological Sequence Alignment using Recursive Variable Expansion 645_acceleration_of_biological_sequence_alignment_using_recursiv.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
R.J. Meeuws, K. Sigdel, Y.D. Yankova, K.L.M. Bertels, Quantitative Prediction for Early Design Space Exploration in Delft Workbench: An Outlook 669_quantitative_prediction_for_early_design_space_exploration_i.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
Y. Lu, T. Marconi, G.N. Gaydadjiev, K.L.M. Bertels, A new model of placement quality measurement for online task placement 664_a_new_model_of_placement_quality_measurement_for_online_task.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
T. Abdullah, K.L.M. Bertels, Agent based Local Ad Hoc Grids 663_agent_based_local_ad_hoc_grids.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
S. Mirshokraie, M. Sabeghi, K.L.M. Bertels, M. Naghibzadeh, Datalife Time Analysis in RDM+ Real-Time Communication Protocol 684_datalife_time_analysis_in_rdm_realtime_communication_proto.pdf (November 2007), IEEE International Conference on Signal Processing and Communications (ICSPC 2007), 24-27 November 2007, Dubai, UAE [Conference Paper]
B. Pourebrahimi, K.L.M. Bertels, Matchmaking through Economic-based Approaches in Ad-hoc Grids 662_matchmaking_through_economicbased_approaches_in_adhoc_grid.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]
V.M. Sima, E. Moscu Panainte, K.L.M. Bertels, Area allocation on reconfigurable hardware for parallel C applications (September 2007), 7th Architectures and Compilers for Embedded Systems Symposium (ACES 2007), 17-18 September 2007, Edegem, Belgium [Conference Paper]
F. Thoma, M. Kühnle, P. Bonnot, E. Moscu Panainte, K.L.M. Bertels, S. Goller, A. Schneider, S. Guyetant, E. Schaeler, K.D. Müller-Glaser, J.A. Becker, MORPHEUS: Heterogeneous Reconfigurable Computing (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
K.L.M. Bertels, G.K. Kuzmanov, E. Moscu Panainte, G.N. Gaydadjiev, Y.D. Yankova, V.M. Sima, K. Sigdel, R.J. Meeuws, S. Vassiliadis, Hartes Toolchain Early Evaluation: Profiling, Compilation and HDL Generation 583_hartes_toolchain_early_evaluation_profiling_compilation_an.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
Y.D. Yankova, G.K. Kuzmanov, K.L.M. Bertels, G.N. Gaydadjiev, Y. Lu, S. Vassiliadis, DWARV: DelftWorkbench Automated Reconfigurable VHDL Generator 574_dwarv_delftworkbench_automated_reconfigurable_vhdl_generato.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
R.J. Meeuws, Y.D. Yankova, K.L.M. Bertels, G.N. Gaydadjiev, S. Vassiliadis, A Quantitative Prediction Model for Hardware/Software Partitioning 573_a_quantitative_prediction_model_for_hardwaresoftware_partit.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
C. Galuzzi, K.L.M. Bertels, S. Vassiliadis, A Linear Complexity Algorithm for the Generation of Multiple Inputs Single Output Instructions of Variable Size 587_a_linear_complexity_algorithm_for_the_generation_of_multiple.pdf (July 2007), 7th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2007), 16-19 July 2007, Samos, Greece [Conference Paper]
S.A. Ostadzadeh, B.M. Elahi, Z. Zeinalpour Tabrizi, M.A. Moulavi, K.L.M. Bertels, A Two-phase Practical Parallel Algorithm for Construction of Huffman Codes 611_a_twophase_practical_parallel_algorithm_for_construction_of.pdf (June 2007), International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA 2007), 25-28 June 2007, Las Vegas, USA [Conference Paper]
M.R. Nami, K.L.M. Bertels, A Survey of Autonomic Computing Systems 610_a_survey_of_autonomic_computing_systems.pdf (June 2007), 3rd International Conference on Autonomic and Autonomous Systems (ICAS 2007), 19-25 June 2007, Athens, Greece [Conference Paper]
S. Mirshokraie, M. Sabeghi, M. Naghibzadeh, K.L.M. Bertels, Performance Evaluation of Real-Time Message Delivery in RDM Algorithm 608_performance_evaluation_of_realtime_message_delivery_in_rdm.pdf (June 2007), 3rd International Conference on Networking and Services (ICNS 2007), 19-25 June 2007, Athens, Greece [Conference Paper]
B. Pourebrahimi, K.L.M. Bertels, S. Vassiliadis, L.O. Alima, A Dynamic Pricing and Bidding Strategy for Autonomous Agents in Grids 617_a_dynamic_pricing_and_bidding_strategy_for_autonomous_agents.pdf (May 2007), 6th International Workshop on Agents and Peer-to-Peer Computing (AP2PC 2007), 14-18 May 2007, Honolulu, Hawaii, USA [Conference Paper]
Y.D. Yankova, K.L.M. Bertels, S. Vassiliadis, R.J. Meeuws, A.J.R. Virginia, Automated HDL Generation: Comparative Evaluation 616_automated_hdl_generation_comparative_evaluation.pdf (May 2007), International Symposium on Circuits and Systems (ISCAS 2007), 27-30 May 2007, New Orleans, USA [Conference Paper]
K.L.M. Bertels, G.K. Kuzmanov, E. Moscu Panainte, G.N. Gaydadjiev, Y.D. Yankova, V.M. Sima, K. Sigdel, R.J. Meeuws, S. Vassiliadis, Profiling, Compilation, and HDL Generation within the hArtes Project 625_profiling_compilation_and_hdl_generation_within_the_hartes.pdf (April 2007), Workshop on Directions in FPGAs and Reconfigurable Systems: Adaptive Heterogeneous Systems-on-Chip and European Dimensions (DATE 2007 Workshop), 16-20 April 2007, Nice, France [Conference Paper]
P. Diniz, E. Marques, K.L.M. Bertels, M.M. Fernandes, J.M.P. Cardoso, Proceedings of the Third International Workshop on Applied Reconfigurable Computing (April 2007), 3rd International Workshop on Applied Reconfigurable Computing: Architectures, Tools and Applications (ARC 2007), 27-29 March 2007, Rio de Janeiro, Brazil [Conference Proceedings]
M. Sabeghi, M. Naghibzadeh, K.L.M. Bertels, RDM+: A New Mac Layer Real-Time Communication Protocol 622_rdm_a_new_mac_layer_realtime_communication_protocol.pdf (April 2007), IEEE Sarnoff Symposium, 30 April - 2 May 2007, Princeton, USA [Conference Paper]
C. Galuzzi, K.L.M. Bertels, S. Vassiliadis, A Linear Complexity Algorithm for the Automatic Generation of Convex Multiple Input Multiple Output Instructions 634_a_linear_complexity_algorithm_for_the_automatic_generation_o.pdf (March 2007), 3rd International Workshop on Applied Reconfigurable Computing: Architectures, Tools and Applications (ARC 2007), 27-29 March 2007, Rio de Janeiro, Brazil [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, The Molen Compiler for Reconfigurable Processors 638_the_molen_compiler_for_reconfigurable_processors.pdf (February 2007), ACM Transactions on Embedded Computing Systems (TECS), volume 6, issue 1 [Journal Paper]
B. Pourebrahimi, K.L.M. Bertels, M. Kandru, S. Vassiliadis, Market-based Resource Allocation in Grids 769_marketbased_resource_allocation_in_grids.pdf (December 2006), 2nd IEEE International Conference on e-Science and Grid Computing (e-Science 2006), 4-6 December 2006, Amsterdam, The Netherlands [Conference Paper]
M.R. Nami, K.L.M. Bertels, Autonomic Computing: An emerging approach to IT Management (December 2006), 8th International Conference on Information Integration and Web-based Applications Services (iiWAS 2006), 4-6 December 2006, Yogyakarta, Indonesia [Conference Paper]
Y.D. Yankova, K.L.M. Bertels, S. Vassiliadis, G.K. Kuzmanov, R. Chaves, HLL-to-HDL Generation: Results and Challenges 783_hlltohdl_generation_results_and_challenges.pdf (November 2006), 17th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2006), 23-24 November 2006, Veldhoven, The Netherlands [Conference Paper]
M.R. Nami, K.L.M. Bertels, S. Vassiliadis, Autonomic Computing Systems: Issues and Challenges (November 2006), 17th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2006), 23-24 November 2006, Veldhoven, The Netherlands [Conference Paper]
C. Galuzzi, E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Two Algorithms for the Generation of Convex MIMO instructions 778_two_algorithms_for_the_generation_of_convex_mimo_instruction.pdf (November 2006), 17th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2006), 23-24 November 2006, Veldhoven, The Netherlands [Conference Paper]
T. Abdullah, K.L.M. Bertels, S. Vassiliadis, Economy Based Self Organizing Grids (October 2006), 6th Architectures and Compilers for Embedded Systems Symposium (ACES 2006), 3-4 October 2006, Edegem, Belgium [Conference Paper]
C. Galuzzi, E. Moscu Panainte, Y.D. Yankova, K.L.M. Bertels, S. Vassiliadis, Automatic Selection of Application-Specific Instruction-Set Extensions 801_automatic_selection_of_applicationspecific_instructionset.pdf (October 2006), 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS 2006), 22-25 October 2006, Seoul, Korea [Conference Paper]
T. Abdullah, K.L.M. Bertels, S. Vassiliadis, Adaptive Agent-based resource management for GRID 735_adaptive_agentbased_resource_management_for_grid.pdf (June 2006), 12th ASCI Conference (ASCI 2006), June 2006, Lommel, Belgium [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Interprocedural Compiler Optimization for Partial Run-Time Reconguration (May 2006), Journal of Signal Processing Systems (JSPS), volume 43, issue 2-3 [Journal Paper]
R.J. Meeuws, Y.D. Yankova, K.L.M. Bertels, Towards A Quantitative Model for Hardware/Software Partitioning 757_towards_a_quantitative_model_for_hardwaresoftware_partition.pdf (April 2006), CE technical report , part of Rcosy DES.6392 project [Technical Report]
S. Vassiliadis, G.K. Kuzmanov, S. Wong, E. Moscu Panainte, G.N. Gaydadjiev, K.L.M. Bertels, D. Cheresiz, PISC: Polymorphic Instruction Set Computers 760_pisc_polymorphic_instruction_set_computers.pdf (March 2006), 2nd International Workshop on Reconfigurable Computing: Architectures and Applications (ARC 2006), 1-3 March 2006, Delft, The Netherlands [Conference Paper]
K.L.M. Bertels, J.M.P. Cardoso, S. Vassiliadis, Proceedings of the 2nd International Workshop on Applied Reconfigurable Computing (March 2006), 2nd International Workshop on Reconfigurable Computing: Architectures and Applications (ARC 2006), 1-3 March 2006, Delft, The Netherlands [Conference Proceedings]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Compiler-driven FPGA-area Allocation for Reconfigurable Computing 758_compilerdriven_fpgaarea_allocation_for_reconfigurable_comp.pdf (March 2006), Design, Automation and Test in Europe (DATE 2006), 6-10 March 2006, Munich, Germany [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, The Molen Compiler Backend for Reconfigurable Architectures (December 2005), Compiler and Architecture Seminar, organized by IBM Research Lab, 12 December 2005, Haifa, Israel [Conference Paper]
K. Sigdel, L.S. Shuai, B. Pourebrahimi, K.L.M. Bertels, S. Vassiliadis, Centralized Matchmaking - An Empirical Study 889_centralized_matchmaking__an_empirical_study.pdf (November 2005), 16th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2005), 17-18 November 2005, Veldhoven, The Netherlands [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, FPGA-area Allocation for Partial Run-Time Reconfiguration 886_fpgaarea_allocation_for_partial_runtime_reconfiguration.pdf (November 2005), 16th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2005), 17-18 November 2005, Veldhoven, The Netherlands [Conference Paper]
B. Pourebrahimi, K.L.M. Bertels, S. Vassiliadis, A Survey of Peer-to-Peer Networks 868_a_survey_of_peertopeer_networks.pdf (November 2005), 16th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2005), 17-18 November 2005, Veldhoven, The Netherlands [Conference Paper]
B. Li, P. van der Wolf, K.L.M. Bertels, TTL inter-task communication implementation on a shared memory multiprocessor platform 893_ttl_intertask_communication_implementation_on_a_shared_memo.pdf (November 2005), 16th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2005), 17-18 November 2005, Veldhoven, The Netherlands [Conference Paper]
C. Galuzzi, K.L.M. Bertels, S. Vassiliadis, Graph Covering for generating application specific instructions: an overview of some existing methods 890_graph_covering_for_generating_application_specific_instructi.pdf (November 2005), 16th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2005), 17-18 November 2005, Veldhoven, The Netherlands [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Interprocedural Optimization for Dynamic Hardware Configurations 834_interprocedural_optimization_for_dynamic_hardware_configurat.pdf (July 2005), 5th International Workshop on Computer Systems: Architectures, Modelling, and Simulation (SAMOS 2005), 18-20 July 2005, Samos, Greece [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Instruction Scheduling for Dynamic Hardware Configurations 852_instruction_scheduling_for_dynamic_hardware_configurations.pdf (March 2005), Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany [Conference Paper]
K.L.M. Bertels, J.M. Jacques, M. Boman, Risk and crises management in complex systems (January 2005), Book Title "Micro-Meso-Macro: Adressing Complex System Couplings", Published by World Scientific [Book Chapter]
K. Sigdel, K.L.M. Bertels, B. Pourebrahimi, S. Vassiliadis, L.S. Shuai, A framework for Adaptive Matchmaking in Distributed Computing 956_a_framework_for_adaptive_matchmaking_in_distributed_computin.pdf (December 2004), Cracow Grid Workshop (CGW 2004), 12-15 December 2004, Cracow, Poland [Conference Paper]
K.L.M. Bertels, N. Panchanathan, S. Vassiliadis, B. Pourebrahimi, Centralized Matchmaking for Minimal Agents 961_centralized_matchmaking_for_minimal_agents.pdf (November 2004), 16th IASTED International Conference on Parallel and Distributed Computer Systems (PDCS 2004), 9-11 November 2004, Cambridge (MIT), USA [Conference Paper]
S. Vassiliadis, S. Wong, G.N. Gaydadjiev, K.L.M. Bertels, G.K. Kuzmanov, E. Moscu Panainte, The Molen Polymorphic Processor 960_the_molen_polymorphic_processor.pdf (November 2004), IEEE Transactions on Computers (TC), volume 53, issue 11 [Journal Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Multimedia Reconfigurable Hardware Design Space Exploration 967_multimedia_reconfigurable_hardware_design_space_exploration.pdf (November 2004), 16th IASTED International Conference on Parallel and Distributed Computer Systems (PDCS 2004), 9-11 November 2004, Cambridge (MIT), USA [Conference Paper]
C. Galuzzi, K.L.M. Bertels, S. Vassiliadis, Graph Theory and Application Specific Processors 965_graph_theory_and_application_specific_processors.pdf (November 2004), 15th Annual Workshop on Circuits, Systems and Signal Processing (ProRisc 2004), 25-26 November 2004, Veldhoven, The Netherlands [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Instruction Scheduling for Hiding Reconfiguration Latency (November 2004), 15th Annual Workshop on Circuits, Systems and Signal Processing (ProRisc 2004), 25-26 November 2004, Veldhoven, The Netherlands [Conference Paper]
B. Pourebrahimi, K.L.M. Bertels, S. Vassiliadis, K. Sigdel, Matchmaking within Multi-Agent Systems 962_matchmaking_within_multiagent_systems.pdf (November 2004), 15th Annual Workshop on Circuits, Systems and Signal Processing (ProRisc 2004), 25-26 November 2004, Veldhoven, The Netherlands [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, The PowerPC Backend Molen Compiler 900_the_powerpc_backend_molen_compiler.pdf (August 2004), 14th International Conference on Field Programmable Logic and Applications (FPL 2004), 30 August - 1 September 2004, Leuven, Belgium [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Dynamic Hardware Reconfigurations: Performance Impact on MPEG2 915_dynamic_hardware_reconfigurations_performance_impact_on_mpe.pdf (July 2004), 4th International Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS 2004), 19-21 July 2004, Samos, Greece [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, PowerPC compiler Backend for the Molen Programming Paradigm 1055_powerpc_compiler_backend_for_the_molen_programming_paradigm.pdf (November 2003), 14th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2003), 27-29 November 2003, Veldhoven, The Netherlands [Conference Paper]
B.H.H. Juurlink, K.L.M. Bertels, B. Li, A Flexible Simulator of Pipelined Processors 1056_a_flexible_simulator_of_pipelined_processors.pdf (November 2003), 14th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2003), 27-29 November 2003, Veldhoven, The Netherlands [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Compiling for the Molen Programming Paradigm 1003_compiling_for_the_molen_programming_paradigm.pdf (September 2003), 13th International Conference on Field Programmable Logic and Applications (FPL 2003), 1-3 September 2003, Lisbon, Portugal [Conference Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Compiling for the Molen Programming Paradigm 1501_compiling_for_the_molen_programming_paradigm.pdf (September 2003), 13th International Conference on Field Programmable Logic and Applications (FPL 2003), 1-3 September 2003, Lisbon, Portugal [Conference Paper]
S. Vassiliadis, S. Wong, G.N. Gaydadjiev, K.L.M. Bertels, Polymorphic Processors: How to Expose Arbitrary Hardware Functionality to Programmers 1080_polymorphic_processors_how_to_expose_arbitrary_hardware_fu.pdf (September 2003), IEE FPGA Developer's Forum, 21-22 September 2003, London, UK , Invited Talk [Conference Paper]
S. Vassiliadis, G.N. Gaydadjiev, K.L.M. Bertels, E. Moscu Panainte, The Molen Programming Paradigm 1019_the_molen_programming_paradigm.pdf (July 2003), 3rd International Workshop on Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2003), 21-23 July 2003, Samos, Greece [Conference Paper]
L. Neuberg, K.L.M. Bertels, Heterogeneous Trading Agents 1030_heterogeneous_trading_agents.pdf (May 2003), Complexity, volume 8, issue 5 [Journal Paper]
E. Moscu Panainte, K.L.M. Bertels, S. Vassiliadis, Developing a Retargetable Compiler: Some Preliminary Results 1136_developing_a_retargetable_compiler_some_preliminary_result.pdf (November 2002), 13th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2002), 27-29 November 2002, Veldhoven, The Netherlands [Conference Paper]
K.L.M. Bertels, M. Boman, Component-based development in multi agent systems 1156_componentbased_development_in_multi_agent_systems.pdf (October 2002), Net.object days; Offizielle Nachfolge-Veranstaltung der JavaDays, STJA, JIT, DJEK, October 2002, Erfurt, Germany [Conference Paper]
L. Neuberg, K.L.M. Bertels, An artificial Stock Market 1159_an_artificial_stock_market.pdf (February 2002), IASTED International Conference on Applied Informatics (IA 2002), 18–21 February 2002, Innsbruck, Austria [Conference Paper]
K.L.M. Bertels, L. Neuberg, S. Vassiliadis, G.G. Pechanek, On chaos and neural networks: the backpropagation paradigm 1238_on_chaos_and_neural_networks_the_backpropagation_paradigm.pdf (March 2001), Artificial Intelligence Review, volume 15, issue 1-2 [Journal Paper]
K.L.M. Bertels, M. Boman, Agent-Based Social Simulation in Markets (February 2001), Electronic Commerce Research (ECR), volume 1, issue 1-2 [Journal Paper]
K.L.M. Bertels, L. Neuberg, S. Vassiliadis, G.G. Pechanek, A Look Inside the Learning Process of Neural Networks 1248_a_look_inside_the_learning_process_of_neural_networks.pdf (August 2000), Complexity, volume 5, issue 6 [Journal Paper]