P. Raghavan

NameP. Raghavan
First NamePraveen
E-mail
Author TypeExternal
AffiliationIMEC

Publications

I.O. Agbo, M. Taouil, D.H.P. Kraak, S. Hamdioui, H. Kukner, P Weckx, P. Raghavan, F. Catthoor, Integral Impact of BTI, PVT Variation, and Workload on SRAM Sense Amplifier (April 2017), IEEE Transactions On Very Large Scale Integration (VLSI) Systems (TVLSI), volume 25, issue 4 [Journal Paper]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, P. Raghavan, F. Catthoor, W Dehaene, Quantification of Sense Amplifier Offset Voltage Degradation due to Zero- and Run-time Variability 1543_quantification_of_sense_amplifier_offset_voltage_degradatio.pdf (July 2016), IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2016), 11-13 July 2016, Pittsburgh, U.S.A. , Best Paper Award [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, P. Raghavan, F. Catthoor, Comparative BTI Analysis for Various Sense Amplifier Designs 1532_comparative_bti_analysis_for_various_sense_amplifier_design.pdf (April 2016), IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2016), 20-22 April 2016, Košice, Slovakia [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, P. Raghavan, F. Catthoor, W Dehaene, Comparative BTI Impact for SRAM Cell and Sense Amplifier Designs 1516_comparative_bti_impact_for_sram_cell_and_sense_amplifier_de.pdf (November 2015), MEDIAN Finale - Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN 2015), 10-11 November 2015, Tallinn, Estonia [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, H. Kukner, P Weckx, P. Raghavan, F. Catthoor, Integral Impact of BTI and Voltage Temperature Variation on SRAM Sense Amplifier 1483_integral_impact_of_bti_and_voltage_temperature_variation_on.pdf (April 2015), IEEE VLSI Test Symposium (VTS 2015), 27-29 April 2015, Napa, USA [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, S. Cosemans, P Weckx, P. Raghavan, F. Catthoor, Comparative Analysis of R-D and Atomistic Trap-Based BTI models on SRAM Sense Amplifier 1482_comparative_analysis_of_rd_and_atomistic_trapbased_bti_mo.pdf (April 2015), Design and Technology of Integrated Systems in the Nanoscale Era (DTIS 2015), 21-23 April 2015, Naples, Italy , Best Paper Award [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, H. Kukner, P Weckx, P. Raghavan, F. Catthoor, BTI Analysis for High Performance and Low power SRAM Sense Amplifier 1461_bti_analysis_for_high_performance_and_low_power_sram_sense.pdf (March 2015), 4th Workshop On Manufacturable and Dependable Multicore Architectures (MEDIAN 2015), 13 March 2015, Grenoble, France [Conference Paper]
I.O. Agbo, M. Taouil, S. Hamdioui, H. Kukner, P. Raghavan, F. Catthoor, Impact of BTI on SRAM Sense Amplifier in the Presence of Temperature and Process Variation 1453_impact_of_bti_on_sram_sense_amplifier_in_the_presence_of_te.pdf (September 2014), Joint MEDIAN–TRUDEVICE Open Forum, 30 September 2014, Amsterdam, The Netherlands [Conference Proceedings]
H. Kukner, M.S. Khan, S. Hamdioui, P. Raghavan, F. Catthoor, Comparison of Reaction-Diffusion and Atomistic Trap-Based BTI Models for Logic Gates 1372_comparison_of_reactiondiffusion_and_atomistic_trapbased_b.pdf (March 2014), IEEE Transactions on Reliability (TR), volume 14, issue 1 [Journal Paper]
M.S. Khan, I.O. Agbo, S. Hamdioui, H. Kukner, B Kaczer, P. Raghavan, F. Catthoor, Bias Temperature Instability analysis of FinFET based SRAM cells 1452_bias_temperature_instability_analysis_of_finfet_based_sram.pdf (March 2014), Design, Automation & Test in Europe (DATE 2014), 24-28 March 2014, Dresden, Germany [Conference Proceedings]
M.S. Khan, S. Hamdioui, H. Kukner, P. Raghavan, F. Catthoor, Bias temperature instability analysis in SRAM decoder (May 2013), 18th IEEE European Test Symposium (ETS 2013), 27-31 May 2013, Avignon, France [Conference Paper]
M.S. Khan, S. Hamdioui, M. Taouil, H. Kukner, P. Raghavan, F. Catthoor, Impact of Partial Resistive Defects and Bias Temperature Instability on SRAM Decoder Reliablity 1338_impact_of_partial_resistive_defects_and_bias_temperature_in.pdf (December 2012), International Design & Test Symposium (IDT 2012), 15-17 December 2012, Doha, Qatar [Conference Proceedings]
M.S. Khan, S. Hamdioui, H. Kukner, P. Raghavan, F. Catthoor, Incorporating Parameter Variations in BTI Impact on Nano-scale Logical Gates Analysis 1299_incorporating_parameter_variations_in_bti_impact_on_nanosc.pdf (October 2012), IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2012), 3-5 October 2012, Austin, USA [Conference Paper]
M.S. Khan, S. Hamdioui, H. Kukner, F. Catthoor, P. Raghavan, BTI Impacts on Logical Gates in Nano-scale CMOS Technology 134_bti_impacts_on_logical_gates_in_nanoscale_cmos_technology.pdf (April 2012), 15th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2012), 18-20 April 2012, Tallinn, Estonia [Conference Paper]