I.O. Agbo

NameI.O. Agbo
First NameInnocent
E-mail
Author TypeMsc Student
AffiliationTU Delft

Publications

I.O. Agbo, M. Taouil, D.H.P. Kraak, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, W Dehaene, Impact and Mitigation of SRAM Read Path Aging (June 2018), Microelectronics Reliability, volume 87 [Journal Paper]
D.H.P. Kraak, I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, Degradation analysis of high performance 14nm FinFET SRAM 1714_degradation_analysis_of_high_performance_14nm_finfet_sram.pdf (March 2018), Design, Automation and Test in Europe (DATE 2018), 19-23 March 2018, Dresden, Germany [Conference Paper]
D.H.P. Kraak, M. Taouil, I.O. Agbo, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, Impact and Mitigation of Sense Amplifier Aging Degradation Using Realistic Workloads (October 2017), IEEE Transactions On Very Large Scale Integration (VLSI) Systems (TVLSI) [Journal Paper]
I.O. Agbo, M. Taouil, D.H.P. Kraak, S. Hamdioui, H. Kukner, P Weckx, P. Raghavan, F. Catthoor, Integral Impact of BTI, PVT Variation, and Workload on SRAM Sense Amplifier (April 2017), IEEE Transactions On Very Large Scale Integration (VLSI) Systems (TVLSI), volume 25, issue 4 [Journal Paper]
D.H.P. Kraak, I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, W Dehaene, Mitigation of sense amplifier degradation using input switching 1647_mitigation_of_sense_amplifier_degradation_using_input_switc.pdf (March 2017), Design, Automation and Test in Europe (DATE 2017), 27-31 March 2017, Lausanne, Switzerland [Conference Paper]
D.H.P. Kraak, I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, W Dehaene, On Mitigating Sense Amplifier Offset Voltage Degradation 1590_on_mitigating_sense_amplifier_offset_voltage_degradation.pdf (November 2016), First IEEE International Workshop on Automotive Reliability & Test (ART Workshop 2016), 17-18 November 2016, Fort Worth, USA [Conference Paper]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, P. Raghavan, F. Catthoor, W Dehaene, Quantification of Sense Amplifier Offset Voltage Degradation due to Zero- and Run-time Variability 1543_quantification_of_sense_amplifier_offset_voltage_degradatio.pdf (July 2016), IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2016), 11-13 July 2016, Pittsburgh, U.S.A. , Best Paper Award [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, W Dehaene, Read Path Degradation Analysis in SRAM 1540_read_path_degradation_analysis_in_sram.pdf (May 2016), IEEE European Test Symposium (ETS 2016), 24-27 May 2016, Amsterdam, The Netherlands [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, P. Raghavan, F. Catthoor, Comparative BTI Analysis for Various Sense Amplifier Designs 1532_comparative_bti_analysis_for_various_sense_amplifier_design.pdf (April 2016), IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2016), 20-22 April 2016, Košice, Slovakia [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, BTI Analysis of SRAM Write Driver 1521_bti_analysis_of_sram_write_driver.pdf (December 2015), 10th IEEE International Design & Test Symposium (IDT 2015), 14-16 December 2015, Dead Sea, Jordan [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, P. Raghavan, F. Catthoor, W Dehaene, Comparative BTI Impact for SRAM Cell and Sense Amplifier Designs 1516_comparative_bti_impact_for_sram_cell_and_sense_amplifier_de.pdf (November 2015), MEDIAN Finale - Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN 2015), 10-11 November 2015, Tallinn, Estonia [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, S. Cosemans, P Weckx, P. Raghavan, F. Catthoor, Comparative Analysis of R-D and Atomistic Trap-Based BTI models on SRAM Sense Amplifier 1482_comparative_analysis_of_rd_and_atomistic_trapbased_bti_mo.pdf (April 2015), Design and Technology of Integrated Systems in the Nanoscale Era (DTIS 2015), 21-23 April 2015, Naples, Italy , Best Paper Award [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, H. Kukner, P Weckx, P. Raghavan, F. Catthoor, Integral Impact of BTI and Voltage Temperature Variation on SRAM Sense Amplifier 1483_integral_impact_of_bti_and_voltage_temperature_variation_on.pdf (April 2015), IEEE VLSI Test Symposium (VTS 2015), 27-29 April 2015, Napa, USA [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, H. Kukner, P Weckx, P. Raghavan, F. Catthoor, BTI Analysis for High Performance and Low power SRAM Sense Amplifier 1461_bti_analysis_for_high_performance_and_low_power_sram_sense.pdf (March 2015), 4th Workshop On Manufacturable and Dependable Multicore Architectures (MEDIAN 2015), 13 March 2015, Grenoble, France [Conference Paper]
I.O. Agbo, M. Taouil, S. Hamdioui, H. Kukner, P. Raghavan, F. Catthoor, Impact of BTI on SRAM Sense Amplifier in the Presence of Temperature and Process Variation 1453_impact_of_bti_on_sram_sense_amplifier_in_the_presence_of_te.pdf (September 2014), Joint MEDIAN–TRUDEVICE Open Forum, 30 September 2014, Amsterdam, The Netherlands [Conference Proceedings]
M.S. Khan, I.O. Agbo, S. Hamdioui, H. Kukner, B Kaczer, P. Raghavan, F. Catthoor, Bias Temperature Instability analysis of FinFET based SRAM cells 1452_bias_temperature_instability_analysis_of_finfet_based_sram.pdf (March 2014), Design, Automation & Test in Europe (DATE 2014), 24-28 March 2014, Dresden, Germany [Conference Proceedings]
I.O. Agbo, M.S. Khan, S. Hamdioui, BTI Impact on SRAM Sense Amplifier 1418_bti_impact_on_sram_sense_amplifier.pdf (December 2013), 8th IEEE International Design and Test Symposium (IDT 2013), 16-18 December 2013, Marrakesh, Morocco [Conference Paper]
I.O. Agbo, S. Safiruddin, S.D. Cotofana, Implementable Building Blocks for Fluctuation Based Calculation in Single Electron Tunneling Technology 321_implementable_building_blocks_for_fluctuation_based_calculat.pdf (July 2009), 9th IEEE Conference on Nanotechnology (IEEE-NANO 2009), 26-30 July 2009, Genoa, Italy [Conference Paper]