F. Catthoor

NameF. Catthoor
First NameFrancky
E-mail
Author TypeExternal
AffiliationIMEC

Publications

D.H.P. Kraak, M. Taouil, S. Hamdioui, M. Wasif, F. Catthoor, A. Chatterjee, A. Singh, H.J. Wunderlich, N. Karimi, Device Aging: A Reliability and Security Concern 1715_device_aging_a_reliability_and_security_concern.pdf (July 2018), 23rd IEEE European Test Symposium (ETS 2018), 28 May - 1 June 2018, Bremen, Germany [Conference Paper]
I.O. Agbo, M. Taouil, D.H.P. Kraak, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, W Dehaene, Impact and Mitigation of SRAM Read Path Aging (June 2018), Microelectronics Reliability, volume 87 [Journal Paper]
D.H.P. Kraak, I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, Degradation analysis of high performance 14nm FinFET SRAM 1714_degradation_analysis_of_high_performance_14nm_finfet_sram.pdf (March 2018), Design, Automation and Test in Europe (DATE 2018), 19-23 March 2018, Dresden, Germany [Conference Paper]
D.H.P. Kraak, M. Taouil, I.O. Agbo, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, Impact and Mitigation of Sense Amplifier Aging Degradation Using Realistic Workloads (October 2017), IEEE Transactions On Very Large Scale Integration (VLSI) Systems (TVLSI) [Journal Paper]
I.O. Agbo, M. Taouil, D.H.P. Kraak, S. Hamdioui, H. Kukner, P Weckx, P. Raghavan, F. Catthoor, Integral Impact of BTI, PVT Variation, and Workload on SRAM Sense Amplifier (April 2017), IEEE Transactions On Very Large Scale Integration (VLSI) Systems (TVLSI), volume 25, issue 4 [Journal Paper]
D.H.P. Kraak, I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, W Dehaene, Mitigation of sense amplifier degradation using input switching 1647_mitigation_of_sense_amplifier_degradation_using_input_switc.pdf (March 2017), Design, Automation and Test in Europe (DATE 2017), 27-31 March 2017, Lausanne, Switzerland [Conference Paper]
D.H.P. Kraak, I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, W Dehaene, On Mitigating Sense Amplifier Offset Voltage Degradation 1590_on_mitigating_sense_amplifier_offset_voltage_degradation.pdf (November 2016), First IEEE International Workshop on Automotive Reliability & Test (ART Workshop 2016), 17-18 November 2016, Fort Worth, USA [Conference Paper]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, P. Raghavan, F. Catthoor, W Dehaene, Quantification of Sense Amplifier Offset Voltage Degradation due to Zero- and Run-time Variability 1543_quantification_of_sense_amplifier_offset_voltage_degradatio.pdf (July 2016), IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2016), 11-13 July 2016, Pittsburgh, U.S.A. , Best Paper Award [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, W Dehaene, Read Path Degradation Analysis in SRAM 1540_read_path_degradation_analysis_in_sram.pdf (May 2016), IEEE European Test Symposium (ETS 2016), 24-27 May 2016, Amsterdam, The Netherlands [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, P. Raghavan, F. Catthoor, Comparative BTI Analysis for Various Sense Amplifier Designs 1532_comparative_bti_analysis_for_various_sense_amplifier_design.pdf (April 2016), IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2016), 20-22 April 2016, Košice, Slovakia [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, F. Catthoor, BTI Analysis of SRAM Write Driver 1521_bti_analysis_of_sram_write_driver.pdf (December 2015), 10th IEEE International Design & Test Symposium (IDT 2015), 14-16 December 2015, Dead Sea, Jordan [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, P Weckx, S. Cosemans, P. Raghavan, F. Catthoor, W Dehaene, Comparative BTI Impact for SRAM Cell and Sense Amplifier Designs 1516_comparative_bti_impact_for_sram_cell_and_sense_amplifier_de.pdf (November 2015), MEDIAN Finale - Workshop on Manufacturable and Dependable Multicore Architectures at Nanoscale (MEDIAN 2015), 10-11 November 2015, Tallinn, Estonia [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, H. Kukner, P Weckx, P. Raghavan, F. Catthoor, Integral Impact of BTI and Voltage Temperature Variation on SRAM Sense Amplifier 1483_integral_impact_of_bti_and_voltage_temperature_variation_on.pdf (April 2015), IEEE VLSI Test Symposium (VTS 2015), 27-29 April 2015, Napa, USA [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, S. Cosemans, P Weckx, P. Raghavan, F. Catthoor, Comparative Analysis of R-D and Atomistic Trap-Based BTI models on SRAM Sense Amplifier 1482_comparative_analysis_of_rd_and_atomistic_trapbased_bti_mo.pdf (April 2015), Design and Technology of Integrated Systems in the Nanoscale Era (DTIS 2015), 21-23 April 2015, Naples, Italy , Best Paper Award [Conference Proceedings]
I.O. Agbo, M. Taouil, S. Hamdioui, H. Kukner, P Weckx, P. Raghavan, F. Catthoor, BTI Analysis for High Performance and Low power SRAM Sense Amplifier 1461_bti_analysis_for_high_performance_and_low_power_sram_sense.pdf (March 2015), 4th Workshop On Manufacturable and Dependable Multicore Architectures (MEDIAN 2015), 13 March 2015, Grenoble, France [Conference Paper]
I.O. Agbo, M. Taouil, S. Hamdioui, H. Kukner, P. Raghavan, F. Catthoor, Impact of BTI on SRAM Sense Amplifier in the Presence of Temperature and Process Variation 1453_impact_of_bti_on_sram_sense_amplifier_in_the_presence_of_te.pdf (September 2014), Joint MEDIAN–TRUDEVICE Open Forum, 30 September 2014, Amsterdam, The Netherlands [Conference Proceedings]
M.S. Khan, I.O. Agbo, S. Hamdioui, H. Kukner, B Kaczer, P. Raghavan, F. Catthoor, Bias Temperature Instability analysis of FinFET based SRAM cells 1452_bias_temperature_instability_analysis_of_finfet_based_sram.pdf (March 2014), Design, Automation & Test in Europe (DATE 2014), 24-28 March 2014, Dresden, Germany [Conference Proceedings]
H. Kukner, M.S. Khan, S. Hamdioui, P. Raghavan, F. Catthoor, Comparison of Reaction-Diffusion and Atomistic Trap-Based BTI Models for Logic Gates 1372_comparison_of_reactiondiffusion_and_atomistic_trapbased_b.pdf (March 2014), IEEE Transactions on Reliability (TR), volume 14, issue 1 [Journal Paper]
M.S. Khan, S. Hamdioui, H. Kukner, P. Raghavan, F. Catthoor, Bias temperature instability analysis in SRAM decoder (May 2013), 18th IEEE European Test Symposium (ETS 2013), 27-31 May 2013, Avignon, France [Conference Paper]
M.S. Khan, S. Hamdioui, M. Taouil, H. Kukner, P. Raghavan, F. Catthoor, Impact of Partial Resistive Defects and Bias Temperature Instability on SRAM Decoder Reliablity 1338_impact_of_partial_resistive_defects_and_bias_temperature_in.pdf (December 2012), International Design & Test Symposium (IDT 2012), 15-17 December 2012, Doha, Qatar [Conference Proceedings]
M.S. Khan, S. Hamdioui, H. Kukner, P. Raghavan, F. Catthoor, Incorporating Parameter Variations in BTI Impact on Nano-scale Logical Gates Analysis 1299_incorporating_parameter_variations_in_bti_impact_on_nanosc.pdf (October 2012), IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2012), 3-5 October 2012, Austin, USA [Conference Paper]
M.S. Khan, S. Hamdioui, H. Kukner, F. Catthoor, P. Raghavan, BTI Impacts on Logical Gates in Nano-scale CMOS Technology 134_bti_impacts_on_logical_gates_in_nanoscale_cmos_technology.pdf (April 2012), 15th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2012), 18-20 April 2012, Tallinn, Estonia [Conference Paper]
M.S. Khan, S. Hamdioui, F. Catthoor, Comparative BTI Analysis in Nano-scale Circuits Lifetime 122_comparative_bti_analysis_in_nanoscale_circuits_lifetime.pdf (January 2012), 4th Workshop on Design for Reliability (DFR 2012), 23-25 January 2012, Paris, France [Conference Paper]
M.S. Khan, S. Hamdioui, N.Z.B. Haron, F. Catthoor, NBTI Monitoring and Design for Reliability in Nanoscale Circuits 106_nbti_monitoring_and_design_for_reliability_in_nanoscale_circ.pdf (October 2011), IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 2011), 3-5 October 2011, Vancouver, Canada [Conference Paper]
C. Ykman-Couvreur, J. Lambrecht, A. van der Togt, F. Catthoor, H. de Man, System-level Exploration of Dynamic Data Set Implementations in Telecom Network Applications 1097_systemlevel_exploration_of_dynamic_data_set_implementation.pdf (November 2002), ACM Transactions on Embedded Computing Systems (TECS), volume 1, issue 1 [Journal Paper]
C. Ykman-Couvreur, J. Lambrecht, A. van der Togt, F. Catthoor, H. de Man, Multi-objective Abstract Data Type Refinement for Mapping Tables in Telecom Network Applications (June 2002), ACM SIGPLAN Workshop on Memory System Performance (MSP 2002), 16 June 2002, Berlin, Germany [Conference Paper]