K.G.W. Goossens

NameK.G.W. Goossens
First NameKees
E-mail
Author TypeExternal
AffiliationTU Eindhoven

Publications

A.T. Nelson, A. Beyranvand Nejad, A.M. Molnos, M. Koedam, K.G.W. Goossens, CoMik: A Predictable and Cycle-Accurately Composable Real-Time Microkernel (March 2014), Design, Automation & Test in Europe (DATE 2014), 24-28 March 2014, Dresden, Germany [Conference Paper]
K. Chandrasekar, S.L.M. Goossens, C. Weis, M. Koedam, B. Akesson, N. Wehn, K.G.W. Goossens, Exploiting Expendable Process-Margins in DRAMs for Run-Time Performance Optimization 1399_exploiting_expendable_processmargins_in_drams_for_runtime.pdf (March 2014), Design, Automation & Test in Europe (DATE 2014), 24-28 March 2014, Dresden, Germany [Conference Paper]
A. Beyranvand Nejad, A.M. Molnos, K.G.W. Goossens, A Unified Execution Model for Multiple Computation Models of Streaming Applications on a Composable MPSoC 1373_a_unified_execution_model_for_multiple_computation_models_o.pdf (November 2013), Journal of Systems Architecture - Embedded System Design (JSA), volume 59, issue 10 [Journal Paper]
D. Mirzoyan, S. Stuijk, B. Akesson, K.G.W. Goossens, Throughput Analysis and Voltage-Frequency Island Partitioning for Streaming Applications under Process Variation 1391_throughput_analysis_and_voltagefrequency_island_partitioni.pdf (October 2013), IEEE Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia 2012), 11-12 October 2012, Tampere, Finland [Conference Paper]
S.L.M. Goossens, B. Akesson, M. Koedam, A. Beyranvand Nejad, A.T. Nelson, K.G.W. Goossens, The CompSOC design flow for virtual execution platforms 1402_the_compsoc_design_flow_for_virtual_execution_platforms.pdf (September 2013), 10th FPGAworld Conference (FPGAworld 2013), 10 September 2013, Stockholm, Sweden [Conference Paper]
P.G. Zaykov, G.K. Kuzmanov, A.M. Molnos, K.G.W. Goossens, Run-time slack distribution for real-time data-flow applications on embedded MPSoC 1383_runtime_slack_distribution_for_realtime_dataflow_applica.pdf (September 2013), 16th Euromicro Conference on Digital System Design (DSD 2013), 4-6 September 2013, Santander, Spain [Conference Paper]
A. Beyranvand Nejad, A.M. Molnos, K.G.W. Goossens, A Software-Based Technique Enabling Composable Hierarchical Preemptive Scheduling for Time-Triggered Applications 1355_a_softwarebased_technique_enabling_composable_hierarchical.pdf (August 2013), 19th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2013), 19-21 August 2013, Taipei, Taiwan [Conference Paper]
K. Chandrasekar, C. Weis, B. Akesson, N. Wehn, K.G.W. Goossens, Towards Variation-Aware System-Level Power Estimation of DRAMs: An Empirical Approach 1342_towards_variationaware_systemlevel_power_estimation_of_dr.pdf (June 2013), 50th Design Automation Conference (DAC 2013), 2-6 June 2013, Austin, USA , HiPEAC Paper Award [Conference Paper]
D. Mirzoyan, B. Akesson, K.G.W. Goossens, Process-Variation Aware Mapping of Best-Effort and Real-Time Streaming Applications to MPSoCs 1390_processvariation_aware_mapping_of_besteffort_and_realtim.pdf (June 2013), ACM Transactions on Embedded Computing Systems (TECS) [Journal Paper]
K. Chandrasekar, C. Weis, B. Akesson, N. Wehn, K.G.W. Goossens, System and Circuit Level Power Modeling of Energy-Efficient 3D-Stacked Wide I/O DRAMs 1323_system_and_circuit_level_power_modeling_of_energyefficient.pdf (March 2013), Design, Automation & Test in Europe Conference & Exhibition (DATE 2013), 18-22 March 2013, Grenoble, France [Conference Paper]
J.Y. Hur, K.G.W. Goossens, L. Mhamdi, M.A. Wahlah, Comparative Analysis of Soft and Hard On-Chip Interconnects for FPGAs 1334_comparative_analysis_of_soft_and_hard_onchip_interconnects.pdf (December 2012), IET Computers & Digital Techniques (CDT), volume 6, issue 1 [Journal Paper]
A.T. Nelson, A.M. Molnos, A. Beyranvand Nejad, D. Mirzoyan, S.D. Cotofana, K.G.W. Goossens, Embedded Computer Architecture Laboratory: A Hands-on Experience Programming Embedded Systems with Resource and Energy Constraints 1315_embedded_computer_architecture_laboratory_a_handson_exper.pdf (October 2012), Workshop on Embedded and Cyber-Physical Systems Education (WESE 2012), 11 October 2012, Tampere, Finland [Conference Paper]
A.T. Nelson, B. Akesson, A.M. Molnos, S. te Pas, K.G.W. Goossens, Power Versus Quality Trade-offs for Adaptive Real-Time Applications 1314_power_versus_quality_tradeoffs_for_adaptive_realtime_appl.pdf (October 2012), IEEE Symposium on Embedded Systems for Real-Time Multimedia (ESTIMedia 2012), 11-12 October 2012, Tampere, Finland [Conference Paper]
G. Thomas, K. Chandrasekar, B. Akesson, B.H.H. Juurlink, K.G.W. Goossens, A Predictor-based Power-Saving Policy for DRAM Memories 145_a_predictorbased_powersaving_policy_for_dram_memories.pdf (September 2012), 15th Euromicro Conference on Digital System Design (DSD 2012), 5-8 September 2012, Izmir, Turkey [Conference Paper]
A. Azevedo, B. Vermeulen, K.G.W. Goossens, Architecture and Design Flow for a Debug Event Distribution Interconnect 1305_architecture_and_design_flow_for_a_debug_event_distribution.pdf (September 2012), 30th IEEE International Conference on Computer Design (ICCD 2012), 30 September - 3 October 2012, Montreal, Canada [Conference Paper]
K. Chandrasekar, B. Akesson, K.G.W. Goossens, Run-Time Power-Down Strategies for RealTime SDRAM Memory Controllers 142_runtime_powerdown_strategies_for_realtime_sdram_memory_con.pdf (June 2012), Design Automation Conference (DAC 2012), 3-7 June 2012, San Fransisco, USA , HiPEAC Paper Award [Conference Paper]
A.M. Molnos, A. Beyranvand Nejad, B.T. Nguyen, S.D. Cotofana, K.G.W. Goossens, Decoupled inter- and intra-application scheduling for composable and robust embedded MPSoC platforms 138_decoupled_inter_and_intraapplication_scheduling_for_compos.pdf (May 2012), 5th Workshop on Mapping of Applications to MPSoCs & 15th International Workshop on Software and Compilers for Embedded Systems (Map2MPSoC/SCOPES 2012), 15-16 May 2012, St. Goar, Germany [Conference Paper]
D. Mirzoyan, B. Akesson, K.G.W. Goossens, Process-Variation Aware Mapping of Real-Time Streaming Applications to MPSoCs for Improved Yield 129_processvariation_aware_mapping_of_realtime_streaming_appli.pdf (March 2012), 13th International Symposium on Quality Electronic Design (ISQED 2012), 19-21 March 2012, Santa Clara, USA [Conference Paper]
R.A. Stefan, A. Beyranvand Nejad, K.G.W. Goossens, Online allocation for contention-free-routing NoCs 1300_online_allocation_for_contentionfreerouting_nocs.pdf (January 2012), 6th International Workshop on Interconnection Network Architecture: On-Chip, Multi-Chip (INA-OCMC 2012), 25 january 2012, Paris, France [Conference Paper]
J.Y. Hur, T.P. Stefanov, S. Wong, K.G.W. Goossens, Customisation of on-chip network interconnects and experiments in field-programmable gate arrays 124_customisation_of_onchip_network_interconnects_and_experimen.pdf (January 2012), IET Computers & Digital Techniques (CDT), volume 6, issue 1 [Journal Paper]
K. Chandrasekar, B. Akesson, K.G.W. Goossens, Predictable Power-Down Policies for SDRAMs (November 2011), ICT.OPEN 2011, 14-15 November 2011 , Veldhoven, The Netherlands , Poster [Conference Paper]
P.G. Zaykov, A.M. Molnos, G.K. Kuzmanov, K.G.W. Goossens, Dynamic Communication of Slacks through Timestamps in Multiprocessor Systems (November 2011), 22th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC), November 2011, Eindhoven, The Netherlands [Conference Paper]
A. Beyranvand Nejad, A.M. Molnos, K.G.W. Goossens, Enabling Time-Triggered Scheduling on a Composable Embedded System (November 2011), Annual Workshop on PROGram for Research on Embedded Systems & Software (PROGRESS), November 2011, Veldhoven, The Netherlands [Conference Paper]
A.T. Nelson, O. Moreira, A.M. Molnos, S. Stuijk, B.T. Nguyen, K.G.W. Goossens, Power Minimisation for Real-time Dataflow Applications 25_power_minimisation_for_realtime_dataflow_applications.pdf (September 2011), 14th Euromicro Conference On Digital System Design (DSD 2011), 31 August - 2 September 2011, Oulu, Finland [Conference Paper]
A. Beyranvand Nejad, A.M. Molnos, K.G.W. Goossens, A Unified Execution Model for Data-Driven Applications on a Composable MPSoC 5_a_unified_execution_model_for_datadriven_applications_on_a_co.pdf (September 2011), 14th Euromicro Conference On Digital System Design (DSD 2011), 31 August - 2 September 2011, Oulu, Finland [Conference Paper]
K. Chandrasekar, B. Akesson, K.G.W. Goossens, Improved Power Modeling of DDR SDRAMs 4_improved_power_modeling_of_ddr_sdrams.pdf (September 2011), 14th Euromicro Conference On Digital System Design (DSD 2011), 31 August - 2 September 2011, Oulu, Finland [Conference Paper]
M.A. Wahlah, K.G.W. Goossens, PUMA: Placement Unification with Mapping and guaranteed throughput Allocation on an FPGA Using A Hardwired NoC (September 2011), 14th Euromicro Conference On Digital System Design (DSD 2011), 31 August - 2 September 2011, Oulu, Finland [Conference Paper]
M.A. Wahlah, K.G.W. Goossens, A Non-Intrusive Online FPGA Test Scheme Using A Hardwired Network on Chip (September 2011), 14th Euromicro Conference On Digital System Design (DSD 2011), 31 August - 2 September 2011, Oulu, Finland [Conference Paper]
A.T. Nelson, A.M. Molnos, K.G.W. Goossens, Composable Power Management with Energy and Power Budgets per Application 43_composable_power_management_with_energy_and_power_budgets_per.pdf (July 2011), International Conference on Embedded Computer Systems: Architectures, Models, and Simulations (SAMOS XI), 18-21 July 2011, Samos, Greece [Conference Paper]
J.A. Ambrose, A.M. Molnos, A.T. Nelson, S.D. Cotofana, K.G.W. Goossens, B.H.H. Juurlink, Composable Local Memory Organisation for Streaming Applications on Embedded MPSoCs 65_composable_local_memory_organisation_for_streaming_applicatio.pdf (May 2011), ACM International Conference on Computing Frontiers (CF 2011), 3-5 May 2011, Ischia, Italy [Conference Paper]
A. Beyranvand Nejad, M. Escudero Martinez, K.G.W. Goossens, An FPGA Bridge Preserving Traffic Quality of Service for On-Chip Network-Based Systems 81_an_fpga_bridge_preserving_traffic_quality_of_service_for_onc.pdf (March 2011), Design, Automation and Test in Europe (DATE 2011), 14-18 March 2011, Grenoble, France [Conference Paper]
R.A. Stefan, K.G.W. Goossens, An improved algorithm for slot selection in the Æthereal Network-on-Chip 118_an_improved_algorithm_for_slot_selection_in_the_thereal_ne.pdf (January 2011), 5th International Workshop on Interconnection Network Architecture: On-Chip, Multi-Chip (INA-OCMC 2011), 23 January 2011, Heraklion, Greece [Conference Paper]
B. Akesson, A.M. Molnos, A. Hansson, J.A. Ambrose, K.G.W. Goossens, Composability and Predictability for Independent Application Development, Verification, and Execution 258_composability_and_predictability_for_independent_application.pdf (November 2010), Book Title "Multiprocessor System-on-Chip: Hardware Design and Tool Integration", Published by Springer [Book Chapter]
K. Chandrasekar, B. Akesson, K.G.W. Goossens, Modeling and Optimizing Power for a Real-Time SDRAM Controller (November 2010), Annual Workshop on PROGram for Research on Embedded Systems & Software (PROGRESS), November 2010, Veldhoven, The Netherlands , Poster [Conference Paper]
A. Beyranvand Nejad, M. Escudero Martinez, K.G.W. Goossens, On-Chip Interconnect Protocol Stack Exploration for FPGA Board-to-Board Bridging 253_onchip_interconnect_protocol_stack_exploration_for_fpga_boa.pdf (November 2010), Annual Workshop on PROGram for Research on Embedded Systems & Software (PROGRESS), November 2010, Veldhoven, The Netherlands [Conference Paper]
A.T. Nelson, A. Hansson, H. Corporaal, K.G.W. Goossens, Conservative Application-Level Performance Analysis through Simulation of MPSoCs 272_conservative_applicationlevel_performance_analysis_through.pdf (October 2010), 8th IEEE Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia 2010), 28-29 October 2010, Scottsdale, USA [Conference Paper]
D. Mirzoyan, B. Akesson, K.G.W. Goossens, Impact of Process Variations on the Throughput of Real-Time Applications in Multiprocessor Systems-on-Chip (October 2010), Annual Workshop on PROGram for Research on Embedded Systems & Software (PROGRESS), November 2010, Veldhoven, The Netherlands , (poster) Best poster award [Conference Paper]
K.G.W. Goossens, D. She, A. Milutinovic, A.M. Molnos, Composable Dynamic Voltage and Frequency Scaling and Power Management for Dataflow Applications 148_composable_dynamic_voltage_and_frequency_scaling_and_power_m.pdf (September 2010), Conference on Digital System Design Architectures, Methods and Tools (DSD 2010), 1-3 September 2010, Lille, France [Conference Paper]
R.A. Stefan, J. de Windt, K.G.W. Goossens, On-chip Network Interfaces supporting automatic burst write creation, posted writes and read prefetch 174_onchip_network_interfaces_supporting_automatic_burst_write.pdf (July 2010), International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS X), 19-22 July 2010, Samos, Greece [Conference Paper]
A.J. van den Berg, P. Ren, E.J. Marinissen, G.N. Gaydadjiev, K.G.W. Goossens, Bandwidth Analysis of Functional Interconnects Used as Test Access Mechanism 164_bandwidth_analysis_of_functional_interconnects_used_as_test.pdf (July 2010), Journal of Electronic Testing: Theory and Applications (JETTA), volume 26, issue 4 [Journal Paper]
L. Mhamdi, K.G.W. Goossens, I.V. Senin, Buffered Crossbar Fabrics Based on Networks on Chip 188_buffered_crossbar_fabrics_based_on_networks_on_chip.pdf (May 2010), 8th Conference on Communications Networks and Services Research (CNSR 2010), 11-14 May 2010, Montreal, Canada [Conference Paper]
A.M. Molnos, J.A. Ambrose, A.T. Nelson, R.A. Stefan, K.G.W. Goossens, S.D. Cotofana, A Composable, Energy-Managed, Real-Time MPSOC Platform 192_a_composable_energymanaged_realtime_mpsoc_platform.pdf (May 2010), 12th International Conference on Optimization of Electrical and Electronic Equipment (OPTIM 2010), 20-22 May 2010, Brasov, Romania [Conference Paper]
A.M. Molnos, A. Milutinovic, D. She, K.G.W. Goossens, Composable Processor Virtualization for Embedded Systems 278_composable_processor_virtualization_for_embedded_systems.pdf (January 2010), First Workshop on Computer Architecture and Operating System co-design (CAOS 2010), 25-27 January 2010, Pisa, Italy [Conference Paper]
M.A. Wahlah, K.G.W. Goossens, Composable And Persistent-State Application Swapping On FPGAs Using Hardwired Network on Chip 380_composable_and_persistentstate_application_swapping_on_fpga.pdf (December 2009), International Conference on Reconfigurable Computing and FPGAs (ReConFig 2009), 9-11 December 2009, Cancun, Mexico [Conference Paper]
M.A. Wahlah, K.G.W. Goossens, 3-Tier Reconfiguration Model For FPGAs Using Hardwired Network on Chip 369_3tier_reconfiguration_model_for_fpgas_using_hardwired_netwo.pdf (December 2009), International Conference on Field-Programmable Technology (FPT 2009), 9-11 December 2009, Sidney, Australia [Conference Paper]
A. Beyranvand Nejad, K.G.W. Goossens, J. Walters, B. Kienhuis, Mapping KPN Models of Streaming Applications on A Network-on-Chip Platform 391_mapping_kpn_models_of_streaming_applications_on_a_networkon.pdf (November 2009), 20th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2009), 26-27 November 2009, Veldhoven, The Netherlands [Conference Paper]
R.A. Stefan, K.G.W. Goossens, NoC Security using multipath routing 390_noc_security_using_multipath_routing.pdf (November 2009), 20th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2009), 26-27 November 2009, Veldhoven, The Netherlands [Conference Paper]
M.A. Wahlah, K.G.W. Goossens, Run-Time FPGA Testing Using Hardwired Network on Chip (November 2009), 20th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2009), 26-27 November 2009, Veldhoven, The Netherlands [Conference Paper]
I.V. Senin, L. Mhamdi, K.G.W. Goossens, Efficient Multicast Support in Buffered Crossbars using Networks on Chip 384_efficient_multicast_support_in_buffered_crossbars_using_netw.pdf (November 2009), Global Communications Conference (GLOBECOM 2009), 30 November - 4 December 2009, Honolulu, Hawaii, USA [Conference Paper]
R.A. Stefan, K.G.W. Goossens, Multipath routing in TDM NoCs 405_multipath_routing_in_tdm_nocs.pdf (October 2009), 17th International Conference on VLSI and System-on-Chip (VLSI-SoC 2009), 12-14 October 2009, Florianópolis, Brazil [Conference Paper]
K.G.W. Goossens, L. Mhamdi, I.V. Senin, Internet-router buffered crossbars based on networks on chip 297_internetrouter_buffered_crossbars_based_on_networks_on_chip.pdf (August 2009), 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools (DSD 2009), 27-29 August 2009, Patras, Greece [Conference Paper]
A.M. Molnos, K.G.W. Goossens, Conservative dynamic energy management for real-time dataflow applications mapped on multiple processors 298_conservative_dynamic_energy_management_for_realtime_dataflo.pdf (August 2009), 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools (DSD 2009), 27-29 August 2009, Patras, Greece [Conference Paper]
M.A. Wahlah, K.G.W. Goossens, Modeling reconfiguration in a FPGA with a hardwired network on chip 339_modeling_reconfiguration_in_a_fpga_with_a_hardwired_network.pdf (May 2009), 16th Reconfigurable Architectures Workshop (RAW 2009), 25-26 May 2009, Rome, Italy [Conference Paper]
K.G.W. Goossens, B. Vermeulen, A. Beyranvand Nejad, A High-Level Debug Environment for Communication-Centric Debug 356_a_highlevel_debug_environment_for_communicationcentric_deb.pdf (April 2009), Design, Automation and Test in Europe (DATE 2009), 20-24 April 2009, Nice, France [Conference Paper]
M.A. Wahlah, K.G.W. Goossens, Hardwired NOC Infrastructure with Integrated Configuration and Functional Architecture (November 2008), 19th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2008), 27-28 November 2008, Veldhoven, The Netherlands [Conference Paper]
J.Y. Hur, K.G.W. Goossens, L. Mhamdi, Performance Analysis of Soft and Hard Single-Hop and Multi-Hop Circuit-Switched Interconnects for FPGAs 541_performance_analysis_of_soft_and_hard_singlehop_and_multih.pdf (October 2008), 16th International Conference on Very Large Scale Integration (VLSI-SoC 2008), 13-15 October 2008, Rhodes Island, Greece [Conference Paper]
K.G.W. Goossens, M. Bennebroek, J.Y. Hur, M.A. Wahlah, Hardwired Networks on Chip in FPGAs to unify Data and Configuration Interconnects 488_hardwired_networks_on_chip_in_fpgas_to_unify_data_and_config.pdf (April 2008), 2nd International Symposium on Networks-on-Chips (NOCS 2008), 5-6 April 2008, Newcastle, UK [Conference Paper]
A. Milutinovic, K.G.W. Goossens, G.J.M. Smit, Slack exploitation for aggressive dynamic power reduction in SoC 691_slack_exploitation_for_aggressive_dynamic_power_reduction_in.pdf (November 2007), 18th Annual Workshop on Circuits, Systems and Signal Processing (ProRISC 2007), 29-30 November 2007, Veldhoven, The Netherlands [Conference Paper]