Publications

Important Notice: This page contains links to PDF files of articles that may be covered by copyright. You may browse the articles at your convenience. (in the same spirit as you may read a journal or a proceeding article in a public library). Retrieving, copying, or distributing these files, however, may violate the copyright protection law. We recommend that the user abides international law in accessing this directory.

Type Year Author Title
Displaying 1051-1075 of 1700 result(s).
R. Nane, An Agent Adaptability Framework (September 2007), [Msc Thesis]
Z. Chang, G.N. Gaydadjiev, S. Vassiliadis, Infrastructure for Cross-Layer Designs Interaction (August 2007), 16th International Conference on Computer Communications and Networks (ICCCN 2007), 13-16 August 2007, Honolulu, Hawaii, USA [Conference Paper]
R.J. Meeuws, Y.D. Yankova, K.L.M. Bertels, G.N. Gaydadjiev, S. Vassiliadis, A Quantitative Prediction Model for Hardware/Software Partitioning 573_a_quantitative_prediction_model_for_hardwaresoftware_partit.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
Y.D. Yankova, G.K. Kuzmanov, K.L.M. Bertels, G.N. Gaydadjiev, Y. Lu, S. Vassiliadis, DWARV: DelftWorkbench Automated Reconfigurable VHDL Generator 574_dwarv_delftworkbench_automated_reconfigurable_vhdl_generato.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
S.D. Breijer, F. Duarte, S. Wong, An OCM Based Shared Memory Controller For Virtex 4 575_an_ocm_based_shared_memory_controller_for_virtex_4.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
S. Vassiliadis, F. Duarte, S. Wong, A Load/Store Unit for a memcpy Hardware Accelerator 576_a_loadstore_unit_for_a_memcpy_hardware_accelerator.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
S.J. Raaijmakers, S. Wong, Run-Time Partial Reconfiguration for Removal, Placement and Routing on the Virtex-II Pro 577_runtime_partial_reconfiguration_for_removal_placement_and.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
S. Safiruddin, S.D. Cotofana, Building Blocks for Delay-Insensitive Circuits using Single Electron Tunneling Devices 578_building_blocks_for_delayinsensitive_circuits_using_single.pdf (August 2007), 7th IEEE International Conference on Nanotechnology (IEEE-NANO 2007), 2-5 August 2007, Hong Kong, China [Conference Paper]
F. Martorell, S.D. Cotofana, A. Rubio, Fault Tolerant Structures for Nanoscale Gates 579_fault_tolerant_structures_for_nanoscale_gates.pdf (August 2007), 7th IEEE International Conference on Nanotechnology (IEEE-NANO 2007), 2-5 August 2007, Hong Kong, China [Conference Paper]
A.M. Molnos, S.D. Cotofana, M.J.M. Heijligers, J.T.J. van Eijndhoven, Static Cache Partitioning Robustness Analysis for Embedded On-Chip Multi-processors 580_static_cache_partitioning_robustness_analysis_for_embedded_o.pdf (August 2007), Transactions on High-Performance Embedded Architectures and Compilers (HiPEAC), volume 1 [Journal Paper]
W.M. van Oijen, Implementation of a Polymorphic Floating-Point Matrix Multiplication Unit 582_implementation_of_a_polymorphic_floatingpoint_matrix_multip.pdf (August 2007), , cum laude (with distinction) [Msc Thesis]
K.L.M. Bertels, G.K. Kuzmanov, E. Moscu Panainte, G.N. Gaydadjiev, Y.D. Yankova, V.M. Sima, K. Sigdel, R.J. Meeuws, S. Vassiliadis, Hartes Toolchain Early Evaluation: Profiling, Compilation and HDL Generation 583_hartes_toolchain_early_evaluation_profiling_compilation_an.pdf (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
F. Thoma, M. Kühnle, P. Bonnot, E. Moscu Panainte, K.L.M. Bertels, S. Goller, A. Schneider, S. Guyetant, E. Schaeler, K.D. Müller-Glaser, J.A. Becker, MORPHEUS: Heterogeneous Reconfigurable Computing (August 2007), 17th International Conference on Field Programmable Logic and Applications (FPL 2007), 27-29 August 2007, Amsterdam, The Netherlands [Conference Paper]
S. Vassiliadis, D. Soudris, Fine- and Coarse-Grain Reconfigurable Computing (August 2007), Published by Springer [Book]
M. Wirthlin, D. Poznanovic, P. Sundararajan, A. Coppola, D. Pellerin, W. Najjar, R. Bruce, M. Babst, P. Palazzari, G.K. Kuzmanov, OpenFPGA CoreLib Core Library Interoperability Effort 558_openfpga_corelib_core_library_interoperability_effort.pdf (July 2007), 3rd Annual Reconfigurable Systems Summer Institute (RSSI 2007), 16 July 2007, Urbana, USA [Conference Paper]
C. Galuzzi, K.L.M. Bertels, S. Vassiliadis, A Linear Complexity Algorithm for the Generation of Multiple Inputs Single Output Instructions of Variable Size 587_a_linear_complexity_algorithm_for_the_generation_of_multiple.pdf (July 2007), 7th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2007), 16-19 July 2007, Samos, Greece [Conference Paper]
R. Guo, J.G. Delgado-Frias, S. Wong, Cache Replacement Policies for IP Address Lookups 588_cache_replacement_policies_for_ip_address_lookups.pdf (July 2007), 5th IASTED International Conference on Circuits, Signals and Systems (CSS 2007), 2-4 July 2007, Banff, Canada [Conference Paper]
P.J. de Langen, B.H.H. Juurlink, Trade-offs Between Voltage Scaling and Processor Shutdown for Low-Energy Embedded Multiprocessors 589_tradeoffs_between_voltage_scaling_and_processor_shutdown_fo.pdf (July 2007), 7th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2007), 16-19 July 2007, Samos, Greece [Conference Paper]
D.R.H. Calderón, G.N. Gaydadjiev, S. Vassiliadis, Reconfigurable Universal Adder 590_reconfigurable_universal_adder.PDF (July 2007), 18th IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP 2007), 8-11 July 2007, Montréal, Canada [Conference Paper]
D.R.H. Calderón, C. Galuzzi, G.N. Gaydadjiev, S. Vassiliadis, High-Bandwidth Address Generation Unit 591_highbandwidth_address_generation_unit.pdf (July 2007), 7th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2007), 16-19 July 2007, Samos, Greece [Conference Paper]
C. Kachris, S. Vassiliadis, Design Space Exploration of Configuration Manager for Network Processing Applications 592_design_space_exploration_of_configuration_manager_for_networ.pdf (July 2007), International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (IC-SAMOS 2007), 16-19 July 2007, Samos, Greece [Conference Paper]
N.T. Quach, B. Zafarifar, G.N. Gaydadjiev, Real-time FPGA-implementation for blue-sky Detection 593_realtime_fpgaimplementation_for_bluesky_detection.PDF (July 2007), 18th IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP 2007), 8-11 July 2007, Montréal, Canada [Conference Paper]
J.Y. Hur, T.P. Stefanov, S. Wong, S. Vassiliadis, Customizing Reconfigurable On-Chip Crossbar Scheduler 594_customizing_reconfigurable_onchip_crossbar_scheduler.pdf (July 2007), 18th IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP 2007), 8-11 July 2007, Montréal, Canada [Conference Paper]